xref: /XiangShan/src/main/scala/xiangshan/frontend/PreDecode.scala (revision 7f475a241b2cdf869833f641138fdf66b32c9bd6)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.frontend
18
19import chisel3._
20import chisel3.util._
21import freechips.rocketchip.rocket.ExpandedInstruction
22import freechips.rocketchip.rocket.RVCDecoder
23import org.chipsalliance.cde.config.Parameters
24import utility._
25import utils._
26import xiangshan._
27import xiangshan.backend.decode.isa.predecode.PreDecodeInst
28import xiangshan.backend.fu.NewCSR.TriggerUtil
29import xiangshan.backend.fu.util.SdtrigExt
30import xiangshan.frontend.icache._
31
32trait HasPdConst extends HasXSParameter with HasICacheParameters with HasIFUConst {
33  def isRVC(inst: UInt) = inst(1, 0) =/= 3.U
34  def isLink(reg: UInt) = reg === 1.U || reg === 5.U
35  def brInfo(instr: UInt) = {
36    val brType :: Nil = ListLookup(instr, List(BrType.notCFI), PreDecodeInst.brTable)
37    val rd            = Mux(isRVC(instr), instr(12), instr(11, 7))
38    val rs            = Mux(isRVC(instr), Mux(brType === BrType.jal, 0.U, instr(11, 7)), instr(19, 15))
39    val isCall = (brType === BrType.jal && !isRVC(instr) || brType === BrType.jalr) && isLink(rd) // Only for RV64
40    val isRet  = brType === BrType.jalr && isLink(rs) && !isCall
41    List(brType, isCall, isRet)
42  }
43  def jal_offset(inst: UInt, rvc: Bool): UInt = {
44    val rvc_offset = Cat(inst(12), inst(8), inst(10, 9), inst(6), inst(7), inst(2), inst(11), inst(5, 3), 0.U(1.W))
45    val rvi_offset = Cat(inst(31), inst(19, 12), inst(20), inst(30, 21), 0.U(1.W))
46    val max_width  = rvi_offset.getWidth
47    SignExt(Mux(rvc, SignExt(rvc_offset, max_width), SignExt(rvi_offset, max_width)), XLEN)
48  }
49  def br_offset(inst: UInt, rvc: Bool): UInt = {
50    val rvc_offset = Cat(inst(12), inst(6, 5), inst(2), inst(11, 10), inst(4, 3), 0.U(1.W))
51    val rvi_offset = Cat(inst(31), inst(7), inst(30, 25), inst(11, 8), 0.U(1.W))
52    val max_width  = rvi_offset.getWidth
53    SignExt(Mux(rvc, SignExt(rvc_offset, max_width), SignExt(rvi_offset, max_width)), XLEN)
54  }
55
56  def NOP = "h4501".U(16.W)
57}
58
59object BrType {
60  def notCFI  = "b00".U
61  def branch  = "b01".U
62  def jal     = "b10".U
63  def jalr    = "b11".U
64  def apply() = UInt(2.W)
65}
66
67object ExcType { // TODO:add exctype
68  def notExc  = "b000".U
69  def apply() = UInt(3.W)
70}
71
72class PreDecodeInfo extends Bundle { // 8 bit
73  val valid  = Bool()
74  val isRVC  = Bool()
75  val brType = UInt(2.W)
76  val isCall = Bool()
77  val isRet  = Bool()
78  // val excType = UInt(3.W)
79  def isBr   = brType === BrType.branch
80  def isJal  = brType === BrType.jal
81  def isJalr = brType === BrType.jalr
82  def notCFI = brType === BrType.notCFI
83}
84
85class PreDecodeResp(implicit p: Parameters) extends XSBundle with HasPdConst {
86  val pd           = Vec(PredictWidth, new PreDecodeInfo)
87  val hasHalfValid = Vec(PredictWidth, Bool())
88  // val expInstr = Vec(PredictWidth, UInt(32.W))
89  val instr      = Vec(PredictWidth, UInt(32.W))
90  val jumpOffset = Vec(PredictWidth, UInt(XLEN.W))
91//  val hasLastHalf = Bool()
92  val triggered = Vec(PredictWidth, TriggerAction())
93}
94
95class PreDecode(implicit p: Parameters) extends XSModule with HasPdConst {
96  val io = IO(new Bundle() {
97    val in  = Input(ValidIO(new IfuToPreDecode))
98    val out = Output(new PreDecodeResp)
99  })
100
101  val data = io.in.bits.data
102//  val lastHalfMatch = io.in.lastHalfMatch
103  val validStart, validEnd     = Wire(Vec(PredictWidth, Bool()))
104  val h_validStart, h_validEnd = Wire(Vec(PredictWidth, Bool()))
105
106  val validStart_half, validEnd_half     = Wire(Vec(PredictWidth, Bool()))
107  val h_validStart_half, h_validEnd_half = Wire(Vec(PredictWidth, Bool()))
108
109  val validStart_halfPlus1, validEnd_halfPlus1     = Wire(Vec(PredictWidth, Bool()))
110  val h_validStart_halfPlus1, h_validEnd_halfPlus1 = Wire(Vec(PredictWidth, Bool()))
111
112  val validStart_diff, validEnd_diff     = Wire(Vec(PredictWidth, Bool()))
113  val h_validStart_diff, h_validEnd_diff = Wire(Vec(PredictWidth, Bool()))
114
115  val currentIsRVC = Wire(Vec(PredictWidth, Bool()))
116
117  validStart_half.map(_ := false.B)
118  validEnd_half.map(_ := false.B)
119  h_validStart_half.map(_ := false.B)
120  h_validEnd_half.map(_ := false.B)
121
122  validStart_halfPlus1.map(_ := false.B)
123  validEnd_halfPlus1.map(_ := false.B)
124  h_validStart_halfPlus1.map(_ := false.B)
125  h_validEnd_halfPlus1.map(_ := false.B)
126
127  val rawInsts = if (HasCExtension) VecInit((0 until PredictWidth).map(i => Cat(data(i + 1), data(i))))
128  else VecInit((0 until PredictWidth).map(i => data(i)))
129
130  for (i <- 0 until PredictWidth) {
131    val inst = WireInit(rawInsts(i))
132    // val expander       = Module(new RVCExpander)
133    currentIsRVC(i) := isRVC(inst)
134    val currentPC = io.in.bits.pc(i)
135    // expander.io.in             := inst
136
137    val brType :: isCall :: isRet :: Nil = brInfo(inst)
138    val jalOffset                        = jal_offset(inst, currentIsRVC(i))
139    val brOffset                         = br_offset(inst, currentIsRVC(i))
140
141    io.out.hasHalfValid(i) := h_validStart(i)
142
143    io.out.triggered(i) := DontCare // VecInit(Seq.fill(10)(false.B))
144
145    io.out.pd(i).valid := validStart(i)
146    io.out.pd(i).isRVC := currentIsRVC(i)
147
148    // for diff purpose only
149    io.out.pd(i).brType := brType
150    io.out.pd(i).isCall := isCall
151    io.out.pd(i).isRet  := isRet
152
153    // io.out.expInstr(i)         := expander.io.out.bits
154    io.out.instr(i)      := inst
155    io.out.jumpOffset(i) := Mux(io.out.pd(i).isBr, brOffset, jalOffset)
156  }
157
158  // the first half is always reliable
159  for (i <- 0 until PredictWidth / 2) {
160    val lastIsValidEnd = if (i == 0) { true.B }
161    else { validEnd(i - 1) || !HasCExtension.B }
162    validStart(i) := (lastIsValidEnd || !HasCExtension.B)
163    validEnd(i)   := validStart(i) && currentIsRVC(i) || !validStart(i) || !HasCExtension.B
164
165    // prepared for last half match
166    val h_lastIsValidEnd = if (i == 0) { false.B }
167    else { h_validEnd(i - 1) || !HasCExtension.B }
168    h_validStart(i) := (h_lastIsValidEnd || !HasCExtension.B)
169    h_validEnd(i)   := h_validStart(i) && currentIsRVC(i) || !h_validStart(i) || !HasCExtension.B
170  }
171
172  for (i <- 0 until PredictWidth) {
173    val lastIsValidEnd = if (i == 0) { true.B }
174    else { validEnd_diff(i - 1) || !HasCExtension.B }
175    validStart_diff(i) := (lastIsValidEnd || !HasCExtension.B)
176    validEnd_diff(i)   := validStart_diff(i) && currentIsRVC(i) || !validStart_diff(i) || !HasCExtension.B
177
178    // prepared for last half match
179    val h_lastIsValidEnd = if (i == 0) { false.B }
180    else { h_validEnd_diff(i - 1) || !HasCExtension.B }
181    h_validStart_diff(i) := (h_lastIsValidEnd || !HasCExtension.B)
182    h_validEnd_diff(i)   := h_validStart_diff(i) && currentIsRVC(i) || !h_validStart_diff(i) || !HasCExtension.B
183  }
184
185  // assume PredictWidth / 2 is a valid start
186  for (i <- PredictWidth / 2 until PredictWidth) {
187    val lastIsValidEnd = if (i == PredictWidth / 2) { true.B }
188    else { validEnd_half(i - 1) || !HasCExtension.B }
189    validStart_half(i) := (lastIsValidEnd || !HasCExtension.B)
190    validEnd_half(i)   := validStart_half(i) && currentIsRVC(i) || !validStart_half(i) || !HasCExtension.B
191
192    // prepared for last half match
193    val h_lastIsValidEnd = if (i == PredictWidth / 2) { true.B }
194    else { h_validEnd_half(i - 1) || !HasCExtension.B }
195    h_validStart_half(i) := (h_lastIsValidEnd || !HasCExtension.B)
196    h_validEnd_half(i)   := h_validStart_half(i) && currentIsRVC(i) || !h_validStart_half(i) || !HasCExtension.B
197  }
198
199  // assume PredictWidth / 2 + 1 is a valid start (and PredictWidth / 2 is last half of RVI)
200  for (i <- PredictWidth / 2 + 1 until PredictWidth) {
201    val lastIsValidEnd = if (i == PredictWidth / 2 + 1) { true.B }
202    else { validEnd_halfPlus1(i - 1) || !HasCExtension.B }
203    validStart_halfPlus1(i) := (lastIsValidEnd || !HasCExtension.B)
204    validEnd_halfPlus1(i) := validStart_halfPlus1(i) && currentIsRVC(i) || !validStart_halfPlus1(i) || !HasCExtension.B
205
206    // prepared for last half match
207    val h_lastIsValidEnd = if (i == PredictWidth / 2 + 1) { true.B }
208    else { h_validEnd_halfPlus1(i - 1) || !HasCExtension.B }
209    h_validStart_halfPlus1(i) := (h_lastIsValidEnd || !HasCExtension.B)
210    h_validEnd_halfPlus1(i) := h_validStart_halfPlus1(i) && currentIsRVC(i) || !h_validStart_halfPlus1(
211      i
212    ) || !HasCExtension.B
213  }
214  validStart_halfPlus1(PredictWidth / 2) := false.B // could be true but when true we select half, not halfPlus1
215  validEnd_halfPlus1(PredictWidth / 2)   := true.B
216
217  // assume h_PredictWidth / 2 is an end
218  h_validStart_halfPlus1(PredictWidth / 2) := false.B // could be true but when true we select half, not halfPlus1
219  h_validEnd_halfPlus1(PredictWidth / 2)   := true.B
220
221  // if PredictWidth / 2 - 1 is a valid end, PredictWidth / 2 is a valid start
222  for (i <- PredictWidth / 2 until PredictWidth) {
223    validStart(i)   := Mux(validEnd(PredictWidth / 2 - 1), validStart_half(i), validStart_halfPlus1(i))
224    validEnd(i)     := Mux(validEnd(PredictWidth / 2 - 1), validEnd_half(i), validEnd_halfPlus1(i))
225    h_validStart(i) := Mux(h_validEnd(PredictWidth / 2 - 1), h_validStart_half(i), h_validStart_halfPlus1(i))
226    h_validEnd(i)   := Mux(h_validEnd(PredictWidth / 2 - 1), h_validEnd_half(i), h_validEnd_halfPlus1(i))
227  }
228
229  val validStartMismatch        = Wire(Bool())
230  val validEndMismatch          = Wire(Bool())
231  val validH_ValidStartMismatch = Wire(Bool())
232  val validH_ValidEndMismatch   = Wire(Bool())
233
234  validStartMismatch        := validStart.zip(validStart_diff).map { case (a, b) => a =/= b }.reduce(_ || _)
235  validEndMismatch          := validEnd.zip(validEnd_diff).map { case (a, b) => a =/= b }.reduce(_ || _)
236  validH_ValidStartMismatch := h_validStart.zip(h_validStart_diff).map { case (a, b) => a =/= b }.reduce(_ || _)
237  validH_ValidEndMismatch   := h_validEnd.zip(h_validEnd_diff).map { case (a, b) => a =/= b }.reduce(_ || _)
238
239  XSError(io.in.valid && validStartMismatch, p"validStart mismatch\n")
240  XSError(io.in.valid && validEndMismatch, p"validEnd mismatch\n")
241  XSError(io.in.valid && validH_ValidStartMismatch, p"h_validStart mismatch\n")
242  XSError(io.in.valid && validH_ValidEndMismatch, p"h_validEnd mismatch\n")
243
244//  io.out.hasLastHalf := !io.out.pd(PredictWidth - 1).isRVC && io.out.pd(PredictWidth - 1).valid
245
246  for (i <- 0 until PredictWidth) {
247    XSDebug(
248      true.B,
249      p"instr ${Hexadecimal(io.out.instr(i))}, " +
250        p"validStart ${Binary(validStart(i))}, " +
251        p"validEnd ${Binary(validEnd(i))}, " +
252        p"isRVC ${Binary(io.out.pd(i).isRVC)}, " +
253        p"brType ${Binary(io.out.pd(i).brType)}, " +
254        p"isRet ${Binary(io.out.pd(i).isRet)}, " +
255        p"isCall ${Binary(io.out.pd(i).isCall)}\n"
256    )
257  }
258}
259
260class IfuToF3PreDecode(implicit p: Parameters) extends XSBundle with HasPdConst {
261  val instr = Vec(PredictWidth, UInt(32.W))
262}
263
264class F3PreDecodeResp(implicit p: Parameters) extends XSBundle with HasPdConst {
265  val pd = Vec(PredictWidth, new PreDecodeInfo)
266}
267class F3Predecoder(implicit p: Parameters) extends XSModule with HasPdConst {
268  val io = IO(new Bundle() {
269    val in  = Input(new IfuToF3PreDecode)
270    val out = Output(new F3PreDecodeResp)
271  })
272  io.out.pd.zipWithIndex.map { case (pd, i) =>
273    pd.valid  := DontCare
274    pd.isRVC  := DontCare
275    pd.brType := brInfo(io.in.instr(i))(0)
276    pd.isCall := brInfo(io.in.instr(i))(1)
277    pd.isRet  := brInfo(io.in.instr(i))(2)
278  }
279
280}
281
282class RVCExpander(implicit p: Parameters) extends XSModule {
283  val io = IO(new Bundle {
284    val in      = Input(UInt(32.W))
285    val fsIsOff = Input(Bool())
286    val out     = Output(new ExpandedInstruction)
287    val ill     = Output(Bool())
288  })
289
290  val decoder = new RVCDecoder(io.in, io.fsIsOff, XLEN, fLen, useAddiForMv = true)
291
292  if (HasCExtension) {
293    io.out := decoder.decode
294    io.ill := decoder.ill
295  } else {
296    io.out := decoder.passthrough
297    io.ill := false.B
298  }
299}
300
301/* ---------------------------------------------------------------------
302 * Predict result check
303 *
304 * ---------------------------------------------------------------------
305 */
306
307object FaultType {
308  def noFault      = "b000".U
309  def jalFault     = "b001".U // not CFI taken or invalid instruction taken
310  def retFault     = "b010".U // not CFI taken or invalid instruction taken
311  def targetFault  = "b011".U
312  def notCFIFault  = "b100".U // not CFI taken or invalid instruction taken
313  def invalidTaken = "b101".U
314  def jalrFault    = "b110".U
315  def apply()      = UInt(3.W)
316}
317
318class CheckInfo extends Bundle { // 8 bit
319  val value             = UInt(3.W)
320  def isjalFault        = value === FaultType.jalFault
321  def isjalrFault       = value === FaultType.jalrFault
322  def isRetFault        = value === FaultType.retFault
323  def istargetFault     = value === FaultType.targetFault
324  def invalidTakenFault = value === FaultType.invalidTaken
325  def notCFIFault       = value === FaultType.notCFIFault
326}
327
328class PredCheckerResp(implicit p: Parameters) extends XSBundle with HasPdConst {
329  // to Ibuffer write port  (stage 1)
330  val stage1Out = new Bundle {
331    val fixedRange = Vec(PredictWidth, Bool())
332    val fixedTaken = Vec(PredictWidth, Bool())
333  }
334  // to Ftq write back port (stage 2)
335  val stage2Out = new Bundle {
336    val fixedTarget   = Vec(PredictWidth, UInt(VAddrBits.W))
337    val jalTarget     = Vec(PredictWidth, UInt(VAddrBits.W))
338    val fixedMissPred = Vec(PredictWidth, Bool())
339    val faultType     = Vec(PredictWidth, new CheckInfo)
340  }
341}
342
343class PredChecker(implicit p: Parameters) extends XSModule with HasPdConst {
344  val io = IO(new Bundle {
345    val in  = Input(new IfuToPredChecker)
346    val out = Output(new PredCheckerResp)
347  })
348
349  val (takenIdx, predTaken)    = (io.in.ftqOffset.bits, io.in.ftqOffset.valid)
350  val predTarget               = io.in.target
351  val (instrRange, instrValid) = (io.in.instrRange, io.in.instrValid)
352  val (pds, pc, jumpOffset)    = (io.in.pds, io.in.pc, io.in.jumpOffset)
353
354  val jalFaultVec, jalrFaultVec, retFaultVec, targetFault, notCFITaken, invalidTaken = Wire(Vec(PredictWidth, Bool()))
355
356  /** remask fault may appear together with other faults, but other faults are exclusive
357    * so other f ault mast use fixed mask to keep only one fault would be found and redirect to Ftq
358    * we first detecct remask fault and then use fixedRange to do second check
359    **/
360
361  // Stage 1: detect remask fault
362  /** first check: remask Fault */
363  jalFaultVec := VecInit(pds.zipWithIndex.map { case (pd, i) =>
364    pd.isJal && instrRange(i) && instrValid(i) && (takenIdx > i.U && predTaken || !predTaken)
365  })
366  jalrFaultVec := VecInit(pds.zipWithIndex.map { case (pd, i) =>
367    pd.isJalr && !pd.isRet && instrRange(i) && instrValid(i) && (takenIdx > i.U && predTaken || !predTaken)
368  })
369  retFaultVec := VecInit(pds.zipWithIndex.map { case (pd, i) =>
370    pd.isRet && instrRange(i) && instrValid(i) && (takenIdx > i.U && predTaken || !predTaken)
371  })
372  val remaskFault = VecInit((0 until PredictWidth).map(i => jalFaultVec(i) || jalrFaultVec(i) || retFaultVec(i)))
373  val remaskIdx   = ParallelPriorityEncoder(remaskFault.asUInt)
374  val needRemask  = ParallelOR(remaskFault)
375  val fixedRange  = instrRange.asUInt & (Fill(PredictWidth, !needRemask) | Fill(PredictWidth, 1.U(1.W)) >> ~remaskIdx)
376
377  require(
378    isPow2(PredictWidth),
379    "If PredictWidth does not satisfy the power of 2," +
380      "expression: Fill(PredictWidth, 1.U(1.W)) >> ~remaskIdx is not right !!"
381  )
382
383  io.out.stage1Out.fixedRange := fixedRange.asTypeOf(Vec(PredictWidth, Bool()))
384
385  io.out.stage1Out.fixedTaken := VecInit(pds.zipWithIndex.map { case (pd, i) =>
386    instrValid(i) && fixedRange(i) && (pd.isRet || pd.isJal || pd.isJalr || takenIdx === i.U && predTaken && !pd.notCFI)
387  })
388
389  /** second check: faulse prediction fault and target fault */
390  notCFITaken := VecInit(pds.zipWithIndex.map { case (pd, i) =>
391    fixedRange(i) && instrValid(i) && i.U === takenIdx && pd.notCFI && predTaken
392  })
393  invalidTaken := VecInit(pds.zipWithIndex.map { case (pd, i) =>
394    fixedRange(i) && !instrValid(i) && i.U === takenIdx && predTaken
395  })
396
397  val jumpTargets = VecInit(pds.zipWithIndex.map { case (pd, i) =>
398    (pc(i) + jumpOffset(i)).asTypeOf(UInt(VAddrBits.W))
399  })
400  val seqTargets = VecInit((0 until PredictWidth).map(i => pc(i) + Mux(pds(i).isRVC || !instrValid(i), 2.U, 4.U)))
401
402  // Stage 2: detect target fault
403  /** target calculation: in the next stage  */
404  val fixedRangeNext   = RegEnable(fixedRange, io.in.fire_in)
405  val instrValidNext   = RegEnable(instrValid, io.in.fire_in)
406  val takenIdxNext     = RegEnable(takenIdx, io.in.fire_in)
407  val predTakenNext    = RegEnable(predTaken, io.in.fire_in)
408  val predTargetNext   = RegEnable(predTarget, io.in.fire_in)
409  val jumpTargetsNext  = RegEnable(jumpTargets, io.in.fire_in)
410  val seqTargetsNext   = RegEnable(seqTargets, io.in.fire_in)
411  val pdsNext          = RegEnable(pds, io.in.fire_in)
412  val jalFaultVecNext  = RegEnable(jalFaultVec, io.in.fire_in)
413  val jalrFaultVecNext = RegEnable(jalrFaultVec, io.in.fire_in)
414  val retFaultVecNext  = RegEnable(retFaultVec, io.in.fire_in)
415  val notCFITakenNext  = RegEnable(notCFITaken, io.in.fire_in)
416  val invalidTakenNext = RegEnable(invalidTaken, io.in.fire_in)
417
418  targetFault := VecInit(pdsNext.zipWithIndex.map { case (pd, i) =>
419    fixedRangeNext(i) && instrValidNext(
420      i
421    ) && (pd.isJal || pd.isBr) && takenIdxNext === i.U && predTakenNext && (predTargetNext =/= jumpTargetsNext(i))
422  })
423
424  io.out.stage2Out.faultType.zipWithIndex.foreach { case (faultType, i) =>
425    faultType.value := MuxCase(
426      FaultType.noFault,
427      Seq(
428        jalFaultVecNext(i)  -> FaultType.jalFault,
429        jalrFaultVecNext(i) -> FaultType.jalrFault,
430        retFaultVecNext(i)  -> FaultType.retFault,
431        targetFault(i)      -> FaultType.targetFault,
432        notCFITakenNext(i)  -> FaultType.notCFIFault,
433        invalidTakenNext(i) -> FaultType.invalidTaken
434      )
435    )
436  }
437
438  io.out.stage2Out.fixedMissPred.zipWithIndex.foreach { case (missPred, i) =>
439    missPred := jalFaultVecNext(i) || jalrFaultVecNext(i) || retFaultVecNext(i) || notCFITakenNext(i) ||
440      invalidTakenNext(i) || targetFault(i)
441  }
442  io.out.stage2Out.fixedTarget.zipWithIndex.foreach { case (target, i) =>
443    target := Mux(jalFaultVecNext(i) || targetFault(i), jumpTargetsNext(i), seqTargetsNext(i))
444  }
445  io.out.stage2Out.jalTarget.zipWithIndex.foreach { case (target, i) => target := jumpTargetsNext(i) }
446
447}
448
449class FrontendTrigger(implicit p: Parameters) extends XSModule with SdtrigExt {
450  val io = IO(new Bundle() {
451    val frontendTrigger = Input(new FrontendTdataDistributeIO)
452    val triggered       = Output(Vec(PredictWidth, TriggerAction()))
453
454    val pds = Input(Vec(PredictWidth, new PreDecodeInfo))
455    val pc  = Input(Vec(PredictWidth, UInt(VAddrBits.W)))
456    val data = if (HasCExtension) Input(Vec(PredictWidth + 1, UInt(16.W)))
457    else Input(Vec(PredictWidth, UInt(32.W)))
458  })
459
460  val data = io.data
461
462  val rawInsts = if (HasCExtension) VecInit((0 until PredictWidth).map(i => Cat(data(i + 1), data(i))))
463  else VecInit((0 until PredictWidth).map(i => data(i)))
464
465  val tdataVec = RegInit(VecInit(Seq.fill(TriggerNum)(0.U.asTypeOf(new MatchTriggerIO))))
466  when(io.frontendTrigger.tUpdate.valid) {
467    tdataVec(io.frontendTrigger.tUpdate.bits.addr) := io.frontendTrigger.tUpdate.bits.tdata
468  }
469  val triggerEnableVec = RegInit(VecInit(Seq.fill(TriggerNum)(false.B))) // From CSR, controlled by priv mode, etc.
470  triggerEnableVec := io.frontendTrigger.tEnableVec
471  XSDebug(triggerEnableVec.asUInt.orR, "Debug Mode: At least one frontend trigger is enabled\n")
472
473  val triggerTimingVec = VecInit(tdataVec.map(_.timing))
474  val triggerChainVec  = VecInit(tdataVec.map(_.chain))
475
476  for (i <- 0 until TriggerNum) { PrintTriggerInfo(triggerEnableVec(i), tdataVec(i)) }
477
478  val debugMode            = io.frontendTrigger.debugMode
479  val triggerCanRaiseBpExp = io.frontendTrigger.triggerCanRaiseBpExp
480  // val triggerHitVec = Wire(Vec(PredictWidth, Vec(TriggerNum, Bool())))
481  val triggerHitVec = (0 until TriggerNum).map(j =>
482    TriggerCmpConsecutive(io.pc, tdataVec(j).tdata2, tdataVec(j).matchType, triggerEnableVec(j)).map(hit =>
483      hit && !tdataVec(j).select && !debugMode
484    )
485  ).transpose
486
487  for (i <- 0 until PredictWidth) {
488    val triggerCanFireVec = Wire(Vec(TriggerNum, Bool()))
489    TriggerCheckCanFire(TriggerNum, triggerCanFireVec, VecInit(triggerHitVec(i)), triggerTimingVec, triggerChainVec)
490
491    val actionVec     = VecInit(tdataVec.map(_.action))
492    val triggerAction = Wire(TriggerAction())
493    TriggerUtil.triggerActionGen(triggerAction, triggerCanFireVec, actionVec, triggerCanRaiseBpExp)
494
495    // Priority may select last when no trigger fire.
496    io.triggered(i) := triggerAction
497    XSDebug(
498      triggerCanFireVec.asUInt.orR,
499      p"Debug Mode: Predecode Inst No. ${i} has trigger action vec ${triggerCanFireVec.asUInt.orR}\n"
500    )
501  }
502}
503