1cafb3558SLinJiaweipackage xiangshan.backend.fu 2cafb3558SLinJiawei 3cafb3558SLinJiaweiimport chisel3._ 4cafb3558SLinJiaweiimport chisel3.util._ 5cafb3558SLinJiaweiimport xiangshan._ 6b9fd1892SLinJiaweiimport utils._ 7cafb3558SLinJiaweiimport xiangshan.backend._ 8b0ae3ac4SLinJiaweiimport xiangshan.backend.decode.ImmUnion 9cafb3558SLinJiaweiimport xiangshan.backend.fu.FunctionUnit._ 10ccd5d342SGouLingruiimport xiangshan.backend.decode.isa._ 11cafb3558SLinJiawei 12e18c367fSLinJiaweitrait HasRedirectOut { this: RawModule => 13e18c367fSLinJiawei val redirectOutValid = IO(Output(Bool())) 14e18c367fSLinJiawei val redirectOut = IO(Output(new Redirect)) 15b2e234ebSLinJiawei} 16cafb3558SLinJiawei 17e2203130SLinJiaweiclass JumpDataModule extends XSModule { 18e2203130SLinJiawei val io = IO(new Bundle() { 19e2203130SLinJiawei val src1 = Input(UInt(XLEN.W)) 20*9ca85825SLinJiawei val pc = Input(UInt(XLEN.W)) // sign-ext to XLEN 21e2203130SLinJiawei val immMin = Input(UInt(ImmUnion.maxLen.W)) 22e2203130SLinJiawei val func = Input(FuOpType()) 23e2203130SLinJiawei val isRVC = Input(Bool()) 24e2203130SLinJiawei val result, target = Output(UInt(XLEN.W)) 25e2203130SLinJiawei val isAuipc = Output(Bool()) 26e2203130SLinJiawei }) 27e2203130SLinJiawei val (src1, pc, immMin, func, isRVC) = (io.src1, io.pc, io.immMin, io.func, io.isRVC) 28e2203130SLinJiawei 29e2203130SLinJiawei val isJalr = JumpOpType.jumpOpisJalr(func) 30e2203130SLinJiawei val isAuipc = JumpOpType.jumpOpisAuipc(func) 31e2203130SLinJiawei val offset = SignExt(ParallelMux(Seq( 32e2203130SLinJiawei isJalr -> ImmUnion.I.toImm32(immMin), 33e2203130SLinJiawei isAuipc -> ImmUnion.U.toImm32(immMin), 34e2203130SLinJiawei !(isJalr || isAuipc) -> ImmUnion.J.toImm32(immMin) 35e2203130SLinJiawei )), XLEN) 36e2203130SLinJiawei 37e2203130SLinJiawei val snpc = Mux(isRVC, pc + 2.U, pc + 4.U) 38e2203130SLinJiawei val target = src1 + offset // NOTE: src1 is (pc/rf(rs1)), src2 is (offset) 39e2203130SLinJiawei 40e2203130SLinJiawei io.target := target 41e2203130SLinJiawei io.result := Mux(JumpOpType.jumpOpisAuipc(func), target, snpc) 42e2203130SLinJiawei io.isAuipc := isAuipc 43e2203130SLinJiawei} 44e2203130SLinJiawei 4552c3f215SLinJiaweiclass Jump extends FunctionUnit with HasRedirectOut { 46cafb3558SLinJiawei 477aa94463SLinJiawei val (src1, jalr_target, pc, immMin, func, uop) = ( 48b2e234ebSLinJiawei io.in.bits.src(0), 497aa94463SLinJiawei io.in.bits.src(1)(VAddrBits - 1, 0), 504b8f6260SLinJiawei SignExt(io.in.bits.uop.cf.pc, XLEN), 51b2e234ebSLinJiawei io.in.bits.uop.ctrl.imm, 52b2e234ebSLinJiawei io.in.bits.uop.ctrl.fuOpType, 53b2e234ebSLinJiawei io.in.bits.uop 54b2e234ebSLinJiawei ) 55b2e234ebSLinJiawei 562d7c7105SYinan Xu val redirectHit = uop.roqIdx.needFlush(io.redirectIn, io.flushIn) 57dfd9e0a8SLinJiawei val valid = io.in.valid 58cde9280dSLinJiawei val isRVC = uop.cf.pd.isRVC 59cafb3558SLinJiawei 60e2203130SLinJiawei val jumpDataModule = Module(new JumpDataModule) 61e2203130SLinJiawei jumpDataModule.io.src1 := src1 62e2203130SLinJiawei jumpDataModule.io.pc := pc 63e2203130SLinJiawei jumpDataModule.io.immMin := immMin 64e2203130SLinJiawei jumpDataModule.io.func := func 65e2203130SLinJiawei jumpDataModule.io.isRVC := isRVC 66e2203130SLinJiawei 67e2203130SLinJiawei redirectOutValid := valid && !jumpDataModule.io.isAuipc 688926ac22SLinJiawei redirectOut := DontCare 69e2203130SLinJiawei redirectOut.cfiUpdate.target := jumpDataModule.io.target 70bfb958a3SYinan Xu redirectOut.level := RedirectLevel.flushAfter 71b2e234ebSLinJiawei redirectOut.roqIdx := uop.roqIdx 72cde9280dSLinJiawei redirectOut.ftqIdx := uop.cf.ftqPtr 73cde9280dSLinJiawei redirectOut.ftqOffset := uop.cf.ftqOffset 74cde9280dSLinJiawei redirectOut.cfiUpdate.predTaken := true.B 75cde9280dSLinJiawei redirectOut.cfiUpdate.taken := true.B 76e2203130SLinJiawei redirectOut.cfiUpdate.target := jumpDataModule.io.target 77e2203130SLinJiawei redirectOut.cfiUpdate.isMisPred := jumpDataModule.io.target =/= jalr_target || !uop.cf.pred_taken 78cafb3558SLinJiawei 79cafb3558SLinJiawei io.in.ready := io.out.ready 80e18c367fSLinJiawei io.out.valid := valid 81cafb3558SLinJiawei io.out.bits.uop <> io.in.bits.uop 82e2203130SLinJiawei io.out.bits.data := jumpDataModule.io.result 83cafb3558SLinJiawei 84cafb3558SLinJiawei // NOTE: the debug info is for one-cycle exec, if FMV needs multi-cycle, may needs change it 85f606cf17SLinJiawei XSDebug(io.in.valid, "In(%d %d) Out(%d %d) Redirect:(%d %d %d)\n", 8612ff7156SLinJiawei io.in.valid, 8712ff7156SLinJiawei io.in.ready, 8812ff7156SLinJiawei io.out.valid, 8912ff7156SLinJiawei io.out.ready, 90b2e234ebSLinJiawei io.redirectIn.valid, 91bfb958a3SYinan Xu io.redirectIn.bits.level, 92f606cf17SLinJiawei redirectHit 9312ff7156SLinJiawei ) 94cafb3558SLinJiawei} 95