1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17cafb3558SLinJiaweipackage xiangshan.backend.fu 18cafb3558SLinJiawei 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 20cafb3558SLinJiaweiimport chisel3._ 21cafb3558SLinJiaweiimport chisel3.util._ 223c02ee8fSwakafaimport utility._ 233b739f49SXuan Huimport utils._ 243b739f49SXuan Huimport xiangshan._ 25cafb3558SLinJiaweiimport xiangshan.backend._ 26b0ae3ac4SLinJiaweiimport xiangshan.backend.decode.ImmUnion 27ccd5d342SGouLingruiimport xiangshan.backend.decode.isa._ 28cafb3558SLinJiawei 292225d46eSJiawei Lintrait HasRedirectOut { this: XSModule => 30e18c367fSLinJiawei val redirectOutValid = IO(Output(Bool())) 31e18c367fSLinJiawei val redirectOut = IO(Output(new Redirect)) 32b2e234ebSLinJiawei} 33cafb3558SLinJiawei 342225d46eSJiawei Linclass JumpDataModule(implicit p: Parameters) extends XSModule { 35e2203130SLinJiawei val io = IO(new Bundle() { 362bd5334dSYinan Xu val src = Input(UInt(XLEN.W)) 379ca85825SLinJiawei val pc = Input(UInt(XLEN.W)) // sign-ext to XLEN 38a2fa0ad9Sxiaofeibao val imm = Input(UInt(33.W)) // imm-U need 32 bits, highest bit is sign bit 39a2fa0ad9Sxiaofeibao val nextPcOffset = Input(UInt((log2Up(PredictWidth) + 1).W)) 40e2203130SLinJiawei val func = Input(FuOpType()) 41e2203130SLinJiawei val isRVC = Input(Bool()) 42e2203130SLinJiawei val result, target = Output(UInt(XLEN.W)) 43e2203130SLinJiawei val isAuipc = Output(Bool()) 44e2203130SLinJiawei }) 45a2fa0ad9Sxiaofeibao val (src1, pc, imm, func, isRVC) = (io.src, io.pc, io.imm, io.func, io.isRVC) 46e2203130SLinJiawei 47e2203130SLinJiawei val isJalr = JumpOpType.jumpOpisJalr(func) 48e2203130SLinJiawei val isAuipc = JumpOpType.jumpOpisAuipc(func) 49a2fa0ad9Sxiaofeibao val offset = SignExt(imm, XLEN) 50e2203130SLinJiawei 51a2fa0ad9Sxiaofeibao val snpc = pc + (io.nextPcOffset << instOffsetBits).asUInt 52*894745d5Sxiaofeibao val target = Mux(JumpOpType.jumpOpisJalr(func), src1 + offset, pc + offset) // NOTE: src1 is (pc/rf(rs1)), src2 is (offset) 53e2203130SLinJiawei 541a389dfdSYinan Xu // RISC-V spec for JALR: 551a389dfdSYinan Xu // The target address is obtained by adding the sign-extended 12-bit I-immediate to the register rs1, 561a389dfdSYinan Xu // then setting the least-significant bit of the result to zero. 571a389dfdSYinan Xu io.target := Cat(target(XLEN - 1, 1), false.B) 58e2203130SLinJiawei io.result := Mux(JumpOpType.jumpOpisAuipc(func), target, snpc) 59e2203130SLinJiawei io.isAuipc := isAuipc 60e2203130SLinJiawei} 61