1/*************************************************************************************** 2 * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3 * Copyright (c) 2020-2021 Peng Cheng Laboratory 4 * 5 * XiangShan is licensed under Mulan PSL v2. 6 * You can use this software according to the terms and conditions of the Mulan PSL v2. 7 * You may obtain a copy of Mulan PSL v2 at: 8 * http://license.coscl.org.cn/MulanPSL2 9 * 10 * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11 * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12 * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13 * 14 * See the Mulan PSL v2 for more details. 15 ***************************************************************************************/ 16 17package xiangshan.mem 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import utils._ 23import utility._ 24import xiangshan._ 25import xiangshan.backend.Bundles._ 26import xiangshan.backend.rob.RobPtr 27import xiangshan.backend.fu.PMPRespBundle 28import xiangshan.backend.fu.vector.Bundles.VEew 29import xiangshan.cache.mmu.{TlbCmd, TlbRequestIO} 30import xiangshan.cache._ 31 32class VLSBundle(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle { 33 val flowMask = UInt(VLENB.W) // each bit for a flow 34 val byteMask = UInt(VLENB.W) // each bit for a byte 35 val data = UInt(VLEN.W) 36 // val fof = Bool() // fof is only used for vector loads 37 val excp_eew_index = UInt(elemIdxBits.W) 38 // val exceptionVec = ExceptionVec() // uop has exceptionVec 39 val baseAddr = UInt(VAddrBits.W) 40 val stride = UInt(VLEN.W) 41 // val flow_counter = UInt(flowIdxBits.W) 42 43 // instruction decode result 44 val flowNum = UInt(flowIdxBits.W) // # of flows in a uop 45 // val flowNumLog2 = UInt(log2Up(flowIdxBits).W) // log2(flowNum), for better timing of multiplication 46 val nfields = UInt(fieldBits.W) // NFIELDS 47 val vm = Bool() // whether vector masking is enabled 48 val usWholeReg = Bool() // unit-stride, whole register load 49 val usMaskReg = Bool() // unit-stride, masked store/load 50 val eew = VEew() // size of memory elements 51 val sew = UInt(ewBits.W) 52 val emul = UInt(mulBits.W) 53 val lmul = UInt(mulBits.W) 54 val vlmax = UInt(elemIdxBits.W) 55 val instType = UInt(3.W) 56 val vd_last_uop = Bool() 57 val vd_first_uop = Bool() 58 59 val indexedSrcMask = UInt(VLENB.W) 60 val indexedSplitOffset = UInt(flowIdxBits.W) 61 // Inst's uop 62 val uop = new DynInst 63 64 val fof = Bool() 65 val vdIdxInField = UInt(log2Up(maxMUL).W) 66 val uopOffset = UInt(VLEN.W) 67 val preIsSplit = Bool() // if uop need split, only not Unit-Stride or not 128bit-aligned unit stride need split 68 val mBIndex = if(isVStore) UInt(vsmBindexBits.W) else UInt(vlmBindexBits.W) 69 70 val alignedType = UInt(alignTypeBits.W) 71 val indexVlMaxInVd = UInt(elemIdxBits.W) 72 73 val usLowBitsAddr = UInt((log2Up(maxMemByteNum)).W) 74 val usAligned128 = Bool() 75 val usMask = UInt((VLENB*2).W) // for unit-stride split 76} 77 78object VSFQFeedbackType { 79 val tlbMiss = 0.U(3.W) 80 val mshrFull = 1.U(3.W) 81 val dataInvalid = 2.U(3.W) 82 val bankConflict = 3.U(3.W) 83 val ldVioCheckRedo = 4.U(3.W) 84 val feedbackInvalid = 7.U(3.W) 85 86 def apply() = UInt(3.W) 87} 88 89class VSFQFeedback (implicit p: Parameters) extends XSBundle { 90 // val flowPtr = new VsFlowPtr 91 val hit = Bool() 92 //val flushState = Bool() 93 val sourceType = VSFQFeedbackType() 94 //val dataInvalidSqIdx = new SqPtr 95 val paddr = UInt(PAddrBits.W) 96 val mmio = Bool() 97 val atomic = Bool() 98 val exceptionVec = ExceptionVec() 99} 100 101class VecPipelineFeedbackIO(isVStore: Boolean=false) (implicit p: Parameters) extends VLSUBundle { 102 val mBIndex = if(isVStore) UInt(vsmBindexBits.W) else UInt(vlmBindexBits.W) 103 val hit = Bool() 104 val isvec = Bool() 105 val flushState = Bool() 106 val sourceType = VSFQFeedbackType() 107 //val dataInvalidSqIdx = new SqPtr 108 //val paddr = UInt(PAddrBits.W) 109 val mmio = Bool() 110 //val atomic = Bool() 111 val exceptionVec = ExceptionVec() 112 val vaddr = UInt(VAddrBits.W) 113 val gpaddr = UInt(GPAddrBits.W) 114 //val vec = new OnlyVecExuOutput 115 // feedback 116 val vecFeedback = Bool() 117 118 val usSecondInv = Bool() // only for unit stride, second flow is Invalid 119 val elemIdx = UInt(elemIdxBits.W) // element index 120 val mask = UInt(VLENB.W) 121 val alignedType = UInt(alignTypeBits.W) 122 // for load 123 val reg_offset = OptionWrapper(!isVStore, UInt(vOffsetBits.W)) 124 val elemIdxInsideVd = OptionWrapper(!isVStore, UInt(elemIdxBits.W)) // element index in scope of vd 125 val vecdata = OptionWrapper(!isVStore, UInt(VLEN.W)) 126} 127 128class VecPipeBundle(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle { 129 val vaddr = UInt(VAddrBits.W) 130 val mask = UInt(VLENB.W) 131 val isvec = Bool() 132 val uop_unit_stride_fof = Bool() 133 val reg_offset = UInt(vOffsetBits.W) 134 val alignedType = UInt(alignTypeBits.W) 135 val vecActive = Bool() // 1: vector active element, 0: vector not active element 136 val is_first_ele = Bool() 137 val isFirstIssue = Bool() 138 139 val uop = new DynInst 140 141 val usSecondInv = Bool() // only for unit stride, second flow is Invalid 142 val mBIndex = if(isVStore) UInt(vsmBindexBits.W) else UInt(vlmBindexBits.W) 143 val elemIdx = UInt(elemIdxBits.W) 144 val elemIdxInsideVd = UInt(elemIdxBits.W) // only use in unit-stride 145} 146 147object VecFeedbacks { 148 // need to invalid lsq entry 149 val FLUSH = 0 150 // merge buffer commits one uop 151 val COMMIT = 1 152 // last uop of an inst, sq can commit 153 val LAST = 2 154 // total feedbacks 155 val allFeedbacks = 3 156} 157 158class MergeBufferReq(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{ 159 val mask = UInt(VLENB.W) 160 val vaddr = UInt(VAddrBits.W) 161 val flowNum = UInt(flowIdxBits.W) 162 val uop = new DynInst 163 val data = UInt(VLEN.W) 164 val vdIdx = UInt(3.W) 165 val fof = Bool() 166 val vlmax = UInt(elemIdxBits.W) 167 // val vdOffset = UInt(vdOffset.W) 168} 169 170class MergeBufferResp(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{ 171 val mBIndex = if(isVStore) UInt(vsmBindexBits.W) else UInt(vlmBindexBits.W) 172 val fail = Bool() 173} 174 175class ToMergeBufferIO(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{ 176 val req = DecoupledIO(new MergeBufferReq(isVStore)) 177 val resp = Flipped(ValidIO(new MergeBufferResp(isVStore))) 178 // val issueInactive = ValidIO 179} 180 181class FromSplitIO(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{ 182 val req = Flipped(DecoupledIO(new MergeBufferReq(isVStore))) 183 val resp = ValidIO(new MergeBufferResp(isVStore)) 184 // val issueInactive = Flipped(ValidIO()) 185} 186 187class FeedbackToSplitIO(implicit p: Parameters) extends VLSUBundle{ 188 val elemWriteback = Bool() 189} 190 191class FeedbackToLsqIO(implicit p: Parameters) extends VLSUBundle{ 192 val robidx = new RobPtr 193 val uopidx = UopIdx() 194 val vaddr = UInt(VAddrBits.W) 195 val gpaddr = UInt(GPAddrBits.W) 196 val feedback = Vec(VecFeedbacks.allFeedbacks, Bool()) 197 // for exception 198 val vstart = UInt(elemIdxBits.W) 199 val vl = UInt(elemIdxBits.W) 200 val exceptionVec = ExceptionVec() 201 202 def isFlush = feedback(VecFeedbacks.FLUSH) 203 def isCommit = feedback(VecFeedbacks.COMMIT) 204 def isLast = feedback(VecFeedbacks.LAST) 205} 206 207class VSplitIO(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{ 208 val redirect = Flipped(ValidIO(new Redirect)) 209 val in = Flipped(Decoupled(new MemExuInput(isVector = true))) // from iq 210 val toMergeBuffer = new ToMergeBufferIO(isVStore) //to merge buffer req mergebuffer entry 211 val out = Decoupled(new VecPipeBundle(isVStore))// to scala pipeline 212 val vstd = OptionWrapper(isVStore, Valid(new MemExuOutput(isVector = true))) 213} 214 215class VSplitPipelineIO(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{ 216 val redirect = Flipped(ValidIO(new Redirect)) 217 val in = Flipped(Decoupled(new MemExuInput(isVector = true))) 218 val toMergeBuffer = new ToMergeBufferIO(isVStore) // req mergebuffer entry, inactive elem issue 219 val out = Decoupled(new VLSBundle())// to split buffer 220} 221 222class VSplitBufferIO(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{ 223 val redirect = Flipped(ValidIO(new Redirect)) 224 val in = Flipped(Decoupled(new VLSBundle())) 225 val out = Decoupled(new VecPipeBundle(isVStore))//to scala pipeline 226 val vstd = OptionWrapper(isVStore, ValidIO(new MemExuOutput(isVector = true))) 227} 228 229class VMergeBufferIO(isVStore : Boolean=false)(implicit p: Parameters) extends VLSUBundle{ 230 val redirect = Flipped(ValidIO(new Redirect)) 231 val fromPipeline = if(isVStore) Vec(StorePipelineWidth, Flipped(DecoupledIO(new VecPipelineFeedbackIO(isVStore)))) else Vec(LoadPipelineWidth, Flipped(DecoupledIO(new VecPipelineFeedbackIO(isVStore)))) 232 val fromSplit = if(isVStore) Vec(VecStorePipelineWidth, new FromSplitIO) else Vec(VecLoadPipelineWidth, new FromSplitIO) // req mergebuffer entry, inactive elem issue 233 val uopWriteback = if(isVStore) Vec(VSUopWritebackWidth, DecoupledIO(new MemExuOutput(isVector = true))) else Vec(VLUopWritebackWidth, DecoupledIO(new MemExuOutput(isVector = true))) 234 val toSplit = if(isVStore) Vec(VecStorePipelineWidth, ValidIO(new FeedbackToSplitIO)) else Vec(VecLoadPipelineWidth, ValidIO(new FeedbackToSplitIO)) // for inorder inst 235 val toLsq = if(isVStore) Vec(VSUopWritebackWidth, ValidIO(new FeedbackToLsqIO)) else Vec(VLUopWritebackWidth, ValidIO(new FeedbackToLsqIO)) // for lsq deq 236 val feedback = if(isVStore) Vec(VSUopWritebackWidth, ValidIO(new RSFeedback(isVector = true))) else Vec(VLUopWritebackWidth, ValidIO(new RSFeedback(isVector = true)))//for rs replay 237} 238 239class VSegmentUnitIO(implicit p: Parameters) extends VLSUBundle{ 240 val in = Flipped(Decoupled(new MemExuInput(isVector = true))) // from iq 241 val uopwriteback = DecoupledIO(new MemExuOutput(isVector = true)) // writeback data 242 val rdcache = new DCacheLoadIO // read dcache port 243 val sbuffer = Decoupled(new DCacheWordReqWithVaddrAndPfFlag) 244 val vecDifftestInfo = Decoupled(new DynInst) // to sbuffer 245 val dtlb = new TlbRequestIO(2) 246 val pmpResp = Flipped(new PMPRespBundle()) 247 val flush_sbuffer = new SbufferFlushBundle 248 val feedback = ValidIO(new RSFeedback(isVector = true)) 249 val redirect = Flipped(ValidIO(new Redirect)) 250 val exceptionInfo = ValidIO(new FeedbackToLsqIO) 251}