1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16package xiangshan.mem 17 18import org.chipsalliance.cde.config._ 19import chisel3._ 20import chisel3.util._ 21import utils._ 22import utility._ 23import xiangshan._ 24import xiangshan.ExceptionNO._ 25import xiangshan.frontend.FtqPtr 26import xiangshan.backend.rob.{RobLsqIO, RobPtr} 27import xiangshan.backend.fu.fpu.FPU 28import xiangshan.backend.fu.FuConfig._ 29import xiangshan.backend.Bundles.{DynInst, MemExuOutput} 30import xiangshan.mem.Bundles._ 31import xiangshan.mem.mdp._ 32import xiangshan.cache._ 33import xiangshan.cache.wpu.ReplayCarry 34import xiangshan.cache.mmu._ 35import math._ 36 37object LoadReplayCauses { 38 // these causes have priority, lower coding has higher priority. 39 // when load replay happens, load unit will select highest priority 40 // from replay causes vector 41 42 /* 43 * Warning: 44 * ************************************************************ 45 * * Don't change the priority. If the priority is changed, * 46 * * deadlock may occur. If you really need to change or * 47 * * add priority, please ensure that no deadlock will occur. * 48 * ************************************************************ 49 * 50 */ 51 // st-ld violation re-execute check 52 val C_MA = 0 53 // tlb miss check 54 val C_TM = 1 55 // store-to-load-forwarding check 56 val C_FF = 2 57 // dcache replay check 58 val C_DR = 3 59 // dcache miss check 60 val C_DM = 4 61 // wpu predict fail 62 val C_WF = 5 63 // dcache bank conflict check 64 val C_BC = 6 65 // RAR queue accept check 66 val C_RAR = 7 67 // RAW queue accept check 68 val C_RAW = 8 69 // st-ld violation 70 val C_NK = 9 71 // misalignBuffer Full 72 val C_MF = 10 73 // total causes 74 val allCauses = 11 75} 76 77class VecReplayInfo(implicit p: Parameters) extends XSBundle with HasVLSUParameters { 78 val isvec = Bool() 79 val isLastElem = Bool() 80 val is128bit = Bool() 81 val uop_unit_stride_fof = Bool() 82 val usSecondInv = Bool() 83 val elemIdx = UInt(elemIdxBits.W) 84 val alignedType = UInt(alignTypeBits.W) 85 val mbIndex = UInt(max(vlmBindexBits, vsmBindexBits).W) 86 val elemIdxInsideVd = UInt(elemIdxBits.W) 87 val reg_offset = UInt(vOffsetBits.W) 88 val vecActive = Bool() 89 val is_first_ele = Bool() 90 val mask = UInt((VLEN/8).W) 91} 92 93class AgeDetector(numEntries: Int, numEnq: Int, regOut: Boolean = true)(implicit p: Parameters) extends XSModule { 94 val io = IO(new Bundle { 95 // NOTE: deq and enq may come at the same cycle. 96 val enq = Vec(numEnq, Input(UInt(numEntries.W))) 97 val deq = Input(UInt(numEntries.W)) 98 val ready = Input(UInt(numEntries.W)) 99 val out = Output(UInt(numEntries.W)) 100 }) 101 102 // age(i)(j): entry i enters queue before entry j 103 val age = Seq.fill(numEntries)(Seq.fill(numEntries)(RegInit(false.B))) 104 val nextAge = Seq.fill(numEntries)(Seq.fill(numEntries)(Wire(Bool()))) 105 106 // to reduce reg usage, only use upper matrix 107 def get_age(row: Int, col: Int): Bool = if (row <= col) age(row)(col) else !age(col)(row) 108 def get_next_age(row: Int, col: Int): Bool = if (row <= col) nextAge(row)(col) else !nextAge(col)(row) 109 def isFlushed(i: Int): Bool = io.deq(i) 110 def isEnqueued(i: Int, numPorts: Int = -1): Bool = { 111 val takePorts = if (numPorts == -1) io.enq.length else numPorts 112 takePorts match { 113 case 0 => false.B 114 case 1 => io.enq.head(i) && !isFlushed(i) 115 case n => VecInit(io.enq.take(n).map(_(i))).asUInt.orR && !isFlushed(i) 116 } 117 } 118 119 for ((row, i) <- nextAge.zipWithIndex) { 120 val thisValid = get_age(i, i) || isEnqueued(i) 121 for ((elem, j) <- row.zipWithIndex) { 122 when (isFlushed(i)) { 123 // (1) when entry i is flushed or dequeues, set row(i) to false.B 124 elem := false.B 125 }.elsewhen (isFlushed(j)) { 126 // (2) when entry j is flushed or dequeues, set column(j) to validVec 127 elem := thisValid 128 }.elsewhen (isEnqueued(i)) { 129 // (3) when entry i enqueues from port k, 130 // (3.1) if entry j enqueues from previous ports, set to false 131 // (3.2) otherwise, set to true if and only of entry j is invalid 132 // overall: !jEnqFromPreviousPorts && !jIsValid 133 val sel = io.enq.map(_(i)) 134 val result = (0 until numEnq).map(k => isEnqueued(j, k)) 135 // why ParallelMux: sel must be one-hot since enq is one-hot 136 elem := !get_age(j, j) && !ParallelMux(sel, result) 137 }.otherwise { 138 // default: unchanged 139 elem := get_age(i, j) 140 } 141 age(i)(j) := elem 142 } 143 } 144 145 def getOldest(get: (Int, Int) => Bool): UInt = { 146 VecInit((0 until numEntries).map(i => { 147 io.ready(i) & VecInit((0 until numEntries).map(j => if (i != j) !io.ready(j) || get(i, j) else true.B)).asUInt.andR 148 })).asUInt 149 } 150 val best = getOldest(get_age) 151 val nextBest = getOldest(get_next_age) 152 153 io.out := (if (regOut) best else nextBest) 154} 155 156object AgeDetector { 157 def apply(numEntries: Int, enq: Vec[UInt], deq: UInt, ready: UInt)(implicit p: Parameters): Valid[UInt] = { 158 val age = Module(new AgeDetector(numEntries, enq.length, regOut = true)) 159 age.io.enq := enq 160 age.io.deq := deq 161 age.io.ready:= ready 162 val out = Wire(Valid(UInt(deq.getWidth.W))) 163 out.valid := age.io.out.orR 164 out.bits := age.io.out 165 out 166 } 167} 168 169 170class LoadQueueReplay(implicit p: Parameters) extends XSModule 171 with HasDCacheParameters 172 with HasCircularQueuePtrHelper 173 with HasLoadHelper 174 with HasTlbConst 175 with HasPerfEvents 176{ 177 val io = IO(new Bundle() { 178 // control 179 val redirect = Flipped(ValidIO(new Redirect)) 180 val vecFeedback = Vec(VecLoadPipelineWidth, Flipped(ValidIO(new FeedbackToLsqIO))) 181 182 // from load unit s3 183 val enq = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle))) 184 185 // from sta s1 186 val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 187 188 // from std s1 189 val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new MemExuOutput(isVector = true)))) 190 191 // queue-based replay 192 val replay = Vec(LoadPipelineWidth, Decoupled(new LsPipelineBundle)) 193 // val refill = Flipped(ValidIO(new Refill)) 194 val tl_d_channel = Input(new DcacheToLduForwardIO) 195 196 // from StoreQueue 197 val stAddrReadySqPtr = Input(new SqPtr) 198 val stAddrReadyVec = Input(Vec(StoreQueueSize, Bool())) 199 val stDataReadySqPtr = Input(new SqPtr) 200 val stDataReadyVec = Input(Vec(StoreQueueSize, Bool())) 201 202 // 203 val sqEmpty = Input(Bool()) 204 val lqFull = Output(Bool()) 205 val ldWbPtr = Input(new LqPtr) 206 val rarFull = Input(Bool()) 207 val rawFull = Input(Bool()) 208 val loadMisalignFull = Input(Bool()) 209 val l2_hint = Input(Valid(new L2ToL1Hint())) 210 val tlb_hint = Flipped(new TlbHintIO) 211 val tlbReplayDelayCycleCtrl = Vec(4, Input(UInt(ReSelectLen.W))) 212 213 val debugTopDown = new LoadQueueTopDownIO 214 }) 215 216 println("LoadQueueReplay size: " + LoadQueueReplaySize) 217 // LoadQueueReplay field: 218 // +-----------+---------+-------+-------------+--------+ 219 // | Allocated | MicroOp | VAddr | Cause | Flags | 220 // +-----------+---------+-------+-------------+--------+ 221 // Allocated : entry has been allocated already 222 // MicroOp : inst's microOp 223 // VAddr : virtual address 224 // Cause : replay cause 225 // Flags : rar/raw queue allocate flags 226 val allocated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) // The control signals need to explicitly indicate the initial value 227 val scheduled = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 228 val uop = Reg(Vec(LoadQueueReplaySize, new DynInst)) 229 val vecReplay = Reg(Vec(LoadQueueReplaySize, new VecReplayInfo)) 230 val vaddrModule = Module(new LqVAddrModule( 231 gen = UInt(VAddrBits.W), 232 numEntries = LoadQueueReplaySize, 233 numRead = LoadPipelineWidth, 234 numWrite = LoadPipelineWidth, 235 numWBank = LoadQueueNWriteBanks, 236 numWDelay = 2, 237 numCamPort = 0)) 238 vaddrModule.io := DontCare 239 val debug_vaddr = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(VAddrBits.W)))) 240 val cause = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(LoadReplayCauses.allCauses.W)))) 241 val blocking = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 242 val strict = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 243 244 // freeliset: store valid entries index. 245 // +---+---+--------------+-----+-----+ 246 // | 0 | 1 | ...... | n-2 | n-1 | 247 // +---+---+--------------+-----+-----+ 248 val freeList = Module(new FreeList( 249 size = LoadQueueReplaySize, 250 allocWidth = LoadPipelineWidth, 251 freeWidth = 4, 252 enablePreAlloc = true, 253 moduleName = "LoadQueueReplay freelist" 254 )) 255 freeList.io := DontCare 256 /** 257 * used for re-select control 258 */ 259 val blockSqIdx = Reg(Vec(LoadQueueReplaySize, new SqPtr)) 260 // DCache miss block 261 val missMSHRId = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U((log2Up(cfg.nMissEntries+1).W))))) 262 val tlbHintId = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U((log2Up(loadfiltersize+1).W))))) 263 // Has this load already updated dcache replacement? 264 val replacementUpdated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 265 val missDbUpdated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 266 val trueCacheMissReplay = WireInit(VecInit(cause.map(_(LoadReplayCauses.C_DM)))) 267 val replayCarryReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(ReplayCarry(nWays, 0.U, false.B)))) 268 val dataInLastBeatReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) 269 // LoadQueueReplay deallocate 270 val freeMaskVec = Wire(Vec(LoadQueueReplaySize, Bool())) 271 272 /** 273 * Enqueue 274 */ 275 val canEnqueue = io.enq.map(_.valid) 276 val cancelEnq = io.enq.map(enq => enq.bits.uop.robIdx.needFlush(io.redirect)) 277 val needReplay = io.enq.map(enq => enq.bits.rep_info.need_rep) 278 val hasExceptions = io.enq.map(enq => ExceptionNO.selectByFu(enq.bits.uop.exceptionVec, LduCfg).asUInt.orR && !enq.bits.tlbMiss) 279 val loadReplay = io.enq.map(enq => enq.bits.isLoadReplay) 280 val needEnqueue = VecInit((0 until LoadPipelineWidth).map(w => { 281 canEnqueue(w) && !cancelEnq(w) && needReplay(w) && !hasExceptions(w) 282 })) 283 val newEnqueue = Wire(Vec(LoadPipelineWidth, Bool())) 284 val canFreeVec = VecInit((0 until LoadPipelineWidth).map(w => { 285 canEnqueue(w) && loadReplay(w) && (!needReplay(w) || hasExceptions(w)) 286 })) 287 288 // select LoadPipelineWidth valid index. 289 val lqFull = freeList.io.empty 290 val lqFreeNums = freeList.io.validCount 291 292 // replay logic 293 // release logic generation 294 val storeAddrInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool())) 295 val storeDataInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool())) 296 val addrNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool())) 297 val dataNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool())) 298 val storeAddrValidVec = addrNotBlockVec.asUInt | storeAddrInSameCycleVec.asUInt 299 val storeDataValidVec = dataNotBlockVec.asUInt | storeDataInSameCycleVec.asUInt 300 301 // store data valid check 302 val stAddrReadyVec = io.stAddrReadyVec 303 val stDataReadyVec = io.stDataReadyVec 304 305 for (i <- 0 until LoadQueueReplaySize) { 306 // dequeue 307 // FIXME: store*Ptr is not accurate 308 dataNotBlockVec(i) := isAfter(io.stDataReadySqPtr, blockSqIdx(i)) || stDataReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing 309 addrNotBlockVec(i) := isAfter(io.stAddrReadySqPtr, blockSqIdx(i)) || !strict(i) && stAddrReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing 310 // store address execute 311 storeAddrInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => { 312 io.storeAddrIn(w).valid && 313 !io.storeAddrIn(w).bits.miss && 314 blockSqIdx(i) === io.storeAddrIn(w).bits.uop.sqIdx 315 })).asUInt.orR // for better timing 316 317 // store data execute 318 storeDataInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => { 319 io.storeDataIn(w).valid && 320 blockSqIdx(i) === io.storeDataIn(w).bits.uop.sqIdx 321 })).asUInt.orR // for better timing 322 323 } 324 325 // store addr issue check 326 val stAddrDeqVec = Wire(Vec(LoadQueueReplaySize, Bool())) 327 (0 until LoadQueueReplaySize).map(i => { 328 stAddrDeqVec(i) := allocated(i) && storeAddrValidVec(i) 329 }) 330 331 // store data issue check 332 val stDataDeqVec = Wire(Vec(LoadQueueReplaySize, Bool())) 333 (0 until LoadQueueReplaySize).map(i => { 334 stDataDeqVec(i) := allocated(i) && storeDataValidVec(i) 335 }) 336 337 // update blocking condition 338 (0 until LoadQueueReplaySize).map(i => { 339 // case C_MA 340 when (cause(i)(LoadReplayCauses.C_MA)) { 341 blocking(i) := Mux(stAddrDeqVec(i), false.B, blocking(i)) 342 } 343 // case C_TM 344 when (cause(i)(LoadReplayCauses.C_TM)) { 345 blocking(i) := Mux(io.tlb_hint.resp.valid && 346 (io.tlb_hint.resp.bits.replay_all || 347 io.tlb_hint.resp.bits.id === tlbHintId(i)), false.B, blocking(i)) 348 } 349 // case C_FF 350 when (cause(i)(LoadReplayCauses.C_FF)) { 351 blocking(i) := Mux(stDataDeqVec(i), false.B, blocking(i)) 352 } 353 // case C_DM 354 when (cause(i)(LoadReplayCauses.C_DM)) { 355 blocking(i) := Mux(io.tl_d_channel.valid && io.tl_d_channel.mshrid === missMSHRId(i), false.B, blocking(i)) 356 } 357 // case C_RAR 358 when (cause(i)(LoadReplayCauses.C_RAR)) { 359 blocking(i) := Mux((!io.rarFull || !isAfter(uop(i).lqIdx, io.ldWbPtr)), false.B, blocking(i)) 360 } 361 // case C_RAW 362 when (cause(i)(LoadReplayCauses.C_RAW)) { 363 blocking(i) := Mux((!io.rawFull || !isAfter(uop(i).sqIdx, io.stAddrReadySqPtr)), false.B, blocking(i)) 364 } 365 // case C_MF 366 when (cause(i)(LoadReplayCauses.C_MF)) { 367 blocking(i) := Mux(!io.loadMisalignFull, false.B, blocking(i)) 368 } 369 }) 370 371 // Replay is splitted into 3 stages 372 require((LoadQueueReplaySize % LoadPipelineWidth) == 0) 373 def getRemBits(input: UInt)(rem: Int): UInt = { 374 VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })).asUInt 375 } 376 377 def getRemSeq(input: Seq[Seq[Bool]])(rem: Int) = { 378 (0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) }) 379 } 380 381 // stage1: select 2 entries and read their vaddr 382 val s0_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(LoadQueueReplaySize.W)))) 383 val s1_can_go = Wire(Vec(LoadPipelineWidth, Bool())) 384 val s1_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W)))) 385 val s2_can_go = Wire(Vec(LoadPipelineWidth, Bool())) 386 val s2_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize + 1).W)))) 387 388 // generate mask 389 val needCancel = Wire(Vec(LoadQueueReplaySize, Bool())) 390 // generate enq mask 391 val enqIndexOH = Wire(Vec(LoadPipelineWidth, UInt(LoadQueueReplaySize.W))) 392 val s0_loadEnqFireMask = newEnqueue.zip(enqIndexOH).map(x => Mux(x._1, x._2, 0.U)) 393 val s0_remLoadEnqFireVec = s0_loadEnqFireMask.map(x => VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(x)(rem)))) 394 val s0_remEnqSelVec = Seq.tabulate(LoadPipelineWidth)(w => VecInit(s0_remLoadEnqFireVec.map(x => x(w)))) 395 396 // generate free mask 397 val s0_loadFreeSelMask = GatedRegNext(freeMaskVec.asUInt) 398 val s0_remFreeSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => getRemBits(s0_loadFreeSelMask)(rem))) 399 400 // l2 hint wakes up cache missed load 401 // l2 will send GrantData in next 2/3 cycle, wake up the missed load early and sent them to load pipe, so them will hit the data in D channel or mshr in load S1 402 val s0_loadHintWakeMask = VecInit((0 until LoadQueueReplaySize).map(i => { 403 allocated(i) && !scheduled(i) && cause(i)(LoadReplayCauses.C_DM) && blocking(i) && missMSHRId(i) === io.l2_hint.bits.sourceId && io.l2_hint.valid 404 })).asUInt 405 // l2 will send 2 beats data in 2 cycles, so if data needed by this load is in first beat, select it this cycle, otherwise next cycle 406 // when isKeyword = 1, s0_loadHintSelMask need overturn 407 val s0_loadHintSelMask = Mux( 408 io.l2_hint.bits.isKeyword, 409 s0_loadHintWakeMask & dataInLastBeatReg.asUInt, 410 s0_loadHintWakeMask & VecInit(dataInLastBeatReg.map(!_)).asUInt 411 ) 412 val s0_remLoadHintSelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadHintSelMask)(rem))) 413 val s0_remHintSelValidVec = VecInit((0 until LoadPipelineWidth).map(rem => ParallelORR(s0_remLoadHintSelMask(rem)))) 414 val s0_hintSelValid = ParallelORR(s0_loadHintSelMask) 415 416 // wake up cache missed load 417 (0 until LoadQueueReplaySize).foreach(i => { 418 when(s0_loadHintWakeMask(i)) { 419 blocking(i) := false.B 420 } 421 }) 422 423 // generate replay mask 424 // replay select priority is given as follow 425 // 1. hint wake up load 426 // 2. higher priority load 427 // 3. lower priority load 428 val s0_loadHigherPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => { 429 val hasHigherPriority = cause(i)(LoadReplayCauses.C_DM) || cause(i)(LoadReplayCauses.C_FF) 430 allocated(i) && !scheduled(i) && !blocking(i) && hasHigherPriority 431 })).asUInt // use uint instead vec to reduce verilog lines 432 val s0_remLoadHigherPriorityReplaySelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadHigherPriorityReplaySelMask)(rem))) 433 val s0_loadLowerPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => { 434 val hasLowerPriority = !cause(i)(LoadReplayCauses.C_DM) && !cause(i)(LoadReplayCauses.C_FF) 435 allocated(i) && !scheduled(i) && !blocking(i) && hasLowerPriority 436 })).asUInt // use uint instead vec to reduce verilog lines 437 val s0_remLoadLowerPriorityReplaySelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(s0_loadLowerPriorityReplaySelMask)(rem))) 438 val s0_loadNormalReplaySelMask = s0_loadLowerPriorityReplaySelMask | s0_loadHigherPriorityReplaySelMask | s0_loadHintSelMask 439 val s0_remNormalReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => s0_remLoadLowerPriorityReplaySelMask(rem) | s0_remLoadHigherPriorityReplaySelMask(rem) | s0_remLoadHintSelMask(rem))) 440 val s0_remPriorityReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => { 441 Mux(s0_remHintSelValidVec(rem), s0_remLoadHintSelMask(rem), 442 Mux(ParallelORR(s0_remLoadHigherPriorityReplaySelMask(rem)), s0_remLoadHigherPriorityReplaySelMask(rem), s0_remLoadLowerPriorityReplaySelMask(rem))) 443 })) 444 /****************************************************************************************************** 445 * WARNING: Make sure that OldestSelectStride must less than or equal stages of load pipeline. * 446 ****************************************************************************************************** 447 */ 448 val OldestSelectStride = 4 449 val oldestPtrExt = (0 until OldestSelectStride).map(i => io.ldWbPtr + i.U) 450 val s0_oldestMatchMaskVec = (0 until LoadQueueReplaySize).map(i => (0 until OldestSelectStride).map(j => s0_loadNormalReplaySelMask(i) && uop(i).lqIdx === oldestPtrExt(j))) 451 val s0_remOldsetMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(s0_oldestMatchMaskVec.map(_.take(1)))(rem)) 452 val s0_remOlderMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(s0_oldestMatchMaskVec.map(_.drop(1)))(rem)) 453 val s0_remOldestSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => { 454 VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { 455 Mux(ParallelORR(s0_remOldsetMatchMaskVec(rem).map(_(0))), s0_remOldsetMatchMaskVec(rem)(i)(0), s0_remOlderMatchMaskVec(rem)(i).reduce(_|_)) 456 })).asUInt 457 })) 458 val s0_remOldestHintSelVec = s0_remOldestSelVec.zip(s0_remLoadHintSelMask).map { 459 case(oldestVec, hintVec) => oldestVec & hintVec 460 } 461 462 // select oldest logic 463 s0_oldestSel := VecInit((0 until LoadPipelineWidth).map(rport => { 464 // select enqueue earlest inst 465 val ageOldest = AgeDetector(LoadQueueReplaySize / LoadPipelineWidth, s0_remEnqSelVec(rport), s0_remFreeSelVec(rport), s0_remPriorityReplaySelVec(rport)) 466 assert(!(ageOldest.valid && PopCount(ageOldest.bits) > 1.U), "oldest index must be one-hot!") 467 val ageOldestValid = ageOldest.valid 468 val ageOldestIndexOH = ageOldest.bits 469 470 // select program order oldest 471 val l2HintFirst = io.l2_hint.valid && ParallelORR(s0_remOldestHintSelVec(rport)) 472 val issOldestValid = l2HintFirst || ParallelORR(s0_remOldestSelVec(rport)) 473 val issOldestIndexOH = Mux(l2HintFirst, PriorityEncoderOH(s0_remOldestHintSelVec(rport)), PriorityEncoderOH(s0_remOldestSelVec(rport))) 474 475 val oldest = Wire(Valid(UInt())) 476 val oldestSel = Mux(issOldestValid, issOldestIndexOH, ageOldestIndexOH) 477 val oldestBitsVec = Wire(Vec(LoadQueueReplaySize, Bool())) 478 479 require((LoadQueueReplaySize % LoadPipelineWidth) == 0) 480 oldestBitsVec.foreach(e => e := false.B) 481 for (i <- 0 until LoadQueueReplaySize / LoadPipelineWidth) { 482 oldestBitsVec(i * LoadPipelineWidth + rport) := oldestSel(i) 483 } 484 485 oldest.valid := ageOldest.valid || issOldestValid 486 oldest.bits := oldestBitsVec.asUInt 487 oldest 488 })) 489 490 // stage2: send replay request to load unit 491 // replay cold down 492 val ColdDownCycles = 16 493 val coldCounter = RegInit(VecInit(List.fill(LoadPipelineWidth)(0.U(log2Up(ColdDownCycles).W)))) 494 val ColdDownThreshold = Wire(UInt(log2Up(ColdDownCycles).W)) 495 ColdDownThreshold := Constantin.createRecord(s"ColdDownThreshold_${p(XSCoreParamsKey).HartId}", initValue = 12) 496 assert(ColdDownCycles.U > ColdDownThreshold, "ColdDownCycles must great than ColdDownThreshold!") 497 498 def replayCanFire(i: Int) = coldCounter(i) >= 0.U && coldCounter(i) < ColdDownThreshold 499 def coldDownNow(i: Int) = coldCounter(i) >= ColdDownThreshold 500 501 val replay_req = Wire(Vec(LoadPipelineWidth, DecoupledIO(new LsPipelineBundle))) 502 503 for (i <- 0 until LoadPipelineWidth) { 504 val s0_can_go = s1_can_go(i) || 505 uop(s1_oldestSel(i).bits).robIdx.needFlush(io.redirect) || 506 uop(s1_oldestSel(i).bits).robIdx.needFlush(RegNext(io.redirect)) 507 val s0_oldestSelIndexOH = s0_oldestSel(i).bits // one-hot 508 s1_oldestSel(i).valid := RegEnable(s0_oldestSel(i).valid, false.B, s0_can_go) 509 s1_oldestSel(i).bits := RegEnable(OHToUInt(s0_oldestSel(i).bits), s0_can_go) 510 511 for (j <- 0 until LoadQueueReplaySize) { 512 when (s0_can_go && s0_oldestSel(i).valid && s0_oldestSelIndexOH(j)) { 513 scheduled(j) := true.B 514 } 515 } 516 } 517 val s2_cancelReplay = Wire(Vec(LoadPipelineWidth, Bool())) 518 for (i <- 0 until LoadPipelineWidth) { 519 val s1_cancel = uop(s1_oldestSel(i).bits).robIdx.needFlush(io.redirect) || 520 uop(s1_oldestSel(i).bits).robIdx.needFlush(RegNext(io.redirect)) 521 val s1_oldestSelV = s1_oldestSel(i).valid && !s1_cancel 522 s1_can_go(i) := replayCanFire(i) && (!s2_oldestSel(i).valid || replay_req(i).fire) || s2_cancelReplay(i) 523 s2_oldestSel(i).valid := RegEnable(Mux(s1_can_go(i), s1_oldestSelV, false.B), false.B, (s1_can_go(i) || replay_req(i).fire)) 524 s2_oldestSel(i).bits := RegEnable(s1_oldestSel(i).bits, s1_can_go(i)) 525 526 vaddrModule.io.ren(i) := s1_oldestSel(i).valid && s1_can_go(i) 527 vaddrModule.io.raddr(i) := s1_oldestSel(i).bits 528 } 529 530 for (i <- 0 until LoadPipelineWidth) { 531 val s1_replayIdx = s1_oldestSel(i).bits 532 val s2_replayUop = RegEnable(uop(s1_replayIdx), s1_can_go(i)) 533 val s2_vecReplay = RegEnable(vecReplay(s1_replayIdx), s1_can_go(i)) 534 val s2_replayMSHRId = RegEnable(missMSHRId(s1_replayIdx), s1_can_go(i)) 535 val s2_replacementUpdated = RegEnable(replacementUpdated(s1_replayIdx), s1_can_go(i)) 536 val s2_missDbUpdated = RegEnable(missDbUpdated(s1_replayIdx), s1_can_go(i)) 537 val s2_replayCauses = RegEnable(cause(s1_replayIdx), s1_can_go(i)) 538 val s2_replayCarry = RegEnable(replayCarryReg(s1_replayIdx), s1_can_go(i)) 539 val s2_replayCacheMissReplay = RegEnable(trueCacheMissReplay(s1_replayIdx), s1_can_go(i)) 540 s2_cancelReplay(i) := s2_replayUop.robIdx.needFlush(io.redirect) 541 542 s2_can_go(i) := DontCare 543 replay_req(i).valid := s2_oldestSel(i).valid 544 replay_req(i).bits := DontCare 545 replay_req(i).bits.uop := s2_replayUop 546 replay_req(i).bits.uop.exceptionVec(loadAddrMisaligned) := false.B 547 replay_req(i).bits.isvec := s2_vecReplay.isvec 548 replay_req(i).bits.isLastElem := s2_vecReplay.isLastElem 549 replay_req(i).bits.is128bit := s2_vecReplay.is128bit 550 replay_req(i).bits.uop_unit_stride_fof := s2_vecReplay.uop_unit_stride_fof 551 replay_req(i).bits.usSecondInv := s2_vecReplay.usSecondInv 552 replay_req(i).bits.elemIdx := s2_vecReplay.elemIdx 553 replay_req(i).bits.alignedType := s2_vecReplay.alignedType 554 replay_req(i).bits.mbIndex := s2_vecReplay.mbIndex 555 replay_req(i).bits.elemIdxInsideVd := s2_vecReplay.elemIdxInsideVd 556 replay_req(i).bits.reg_offset := s2_vecReplay.reg_offset 557 replay_req(i).bits.vecActive := s2_vecReplay.vecActive 558 replay_req(i).bits.is_first_ele := s2_vecReplay.is_first_ele 559 replay_req(i).bits.mask := s2_vecReplay.mask 560 replay_req(i).bits.vaddr := vaddrModule.io.rdata(i) 561 replay_req(i).bits.isFirstIssue := false.B 562 replay_req(i).bits.isLoadReplay := true.B 563 replay_req(i).bits.replayCarry := s2_replayCarry 564 replay_req(i).bits.mshrid := s2_replayMSHRId 565 replay_req(i).bits.replacementUpdated := s2_replacementUpdated 566 replay_req(i).bits.missDbUpdated := s2_missDbUpdated 567 replay_req(i).bits.forward_tlDchannel := s2_replayCauses(LoadReplayCauses.C_DM) 568 replay_req(i).bits.schedIndex := s2_oldestSel(i).bits 569 replay_req(i).bits.uop.loadWaitStrict := false.B 570 571 XSError(replay_req(i).fire && !allocated(s2_oldestSel(i).bits), p"LoadQueueReplay: why replay an invalid entry ${s2_oldestSel(i).bits} ?") 572 } 573 574 val EnableHybridUnitReplay = Constantin.createRecord("EnableHybridUnitReplay", true) 575 when(EnableHybridUnitReplay) { 576 for (i <- 0 until LoadPipelineWidth) 577 io.replay(i) <> replay_req(i) 578 }.otherwise { 579 io.replay(0) <> replay_req(0) 580 io.replay(2).valid := false.B 581 io.replay(2).bits := DontCare 582 583 val arbiter = Module(new RRArbiter(new LsPipelineBundle, 2)) 584 arbiter.io.in(0) <> replay_req(1) 585 arbiter.io.in(1) <> replay_req(2) 586 io.replay(1) <> arbiter.io.out 587 } 588 // update cold counter 589 val lastReplay = RegNext(VecInit(io.replay.map(_.fire))) 590 for (i <- 0 until LoadPipelineWidth) { 591 when (lastReplay(i) && io.replay(i).fire) { 592 coldCounter(i) := coldCounter(i) + 1.U 593 } .elsewhen (coldDownNow(i)) { 594 coldCounter(i) := coldCounter(i) + 1.U 595 } .otherwise { 596 coldCounter(i) := 0.U 597 } 598 } 599 600 // XSDebug(io.refill.valid, "miss resp: paddr:0x%x data %x\n", io.refill.bits.addr, io.refill.bits.data) 601 602 603 // init 604 freeMaskVec.map(e => e := false.B) 605 606 // LoadQueueReplay can't backpressure. 607 // We think LoadQueueReplay can always enter, as long as it is the same size as VirtualLoadQueue. 608 assert(freeList.io.canAllocate.reduce(_ || _) || !io.enq.map(_.valid).reduce(_ || _), s"LoadQueueReplay Overflow") 609 610 // Allocate logic 611 needEnqueue.zip(newEnqueue).zip(io.enq).map { 612 case ((needEnq, newEnq), enq) => 613 newEnq := needEnq && !enq.bits.isLoadReplay 614 } 615 616 for ((enq, w) <- io.enq.zipWithIndex) { 617 vaddrModule.io.wen(w) := false.B 618 freeList.io.doAllocate(w) := false.B 619 620 freeList.io.allocateReq(w) := true.B 621 622 // Allocated ready 623 val offset = PopCount(newEnqueue.take(w)) 624 val enqIndex = Mux(enq.bits.isLoadReplay, enq.bits.schedIndex, freeList.io.allocateSlot(offset)) 625 enqIndexOH(w) := UIntToOH(enqIndex) 626 enq.ready := true.B 627 628 val debug_robIdx = enq.bits.uop.robIdx.asUInt 629 XSError( 630 needEnqueue(w) && enq.ready && 631 allocated(enqIndex) && !enq.bits.isLoadReplay, 632 p"LoadQueueReplay: can not accept more load, check: ldu $w, robIdx $debug_robIdx!") 633 XSError( 634 needEnqueue(w) && enq.ready && 635 hasExceptions(w), 636 p"LoadQueueReplay: The instruction has exception, it can not be replay, check: ldu $w, robIdx $debug_robIdx!") 637 when (needEnqueue(w) && enq.ready) { 638 freeList.io.doAllocate(w) := !enq.bits.isLoadReplay 639 640 // Allocate new entry 641 allocated(enqIndex) := true.B 642 scheduled(enqIndex) := false.B 643 uop(enqIndex) := enq.bits.uop 644 vecReplay(enqIndex).isvec := enq.bits.isvec 645 vecReplay(enqIndex).isLastElem := enq.bits.isLastElem 646 vecReplay(enqIndex).is128bit := enq.bits.is128bit 647 vecReplay(enqIndex).uop_unit_stride_fof := enq.bits.uop_unit_stride_fof 648 vecReplay(enqIndex).usSecondInv := enq.bits.usSecondInv 649 vecReplay(enqIndex).elemIdx := enq.bits.elemIdx 650 vecReplay(enqIndex).alignedType:= enq.bits.alignedType 651 vecReplay(enqIndex).mbIndex := enq.bits.mbIndex 652 vecReplay(enqIndex).elemIdxInsideVd := enq.bits.elemIdxInsideVd 653 vecReplay(enqIndex).reg_offset := enq.bits.reg_offset 654 vecReplay(enqIndex).vecActive := enq.bits.vecActive 655 vecReplay(enqIndex).is_first_ele := enq.bits.is_first_ele 656 vecReplay(enqIndex).mask := enq.bits.mask 657 658 vaddrModule.io.wen(w) := true.B 659 vaddrModule.io.waddr(w) := enqIndex 660 vaddrModule.io.wdata(w) := enq.bits.vaddr 661 debug_vaddr(enqIndex) := enq.bits.vaddr 662 663 /** 664 * used for feedback and replay 665 */ 666 // set flags 667 val replayInfo = enq.bits.rep_info 668 val dataInLastBeat = replayInfo.last_beat 669 cause(enqIndex) := replayInfo.cause.asUInt 670 671 672 // init 673 blocking(enqIndex) := true.B 674 strict(enqIndex) := false.B 675 676 // update blocking pointer 677 when (replayInfo.cause(LoadReplayCauses.C_BC) || 678 replayInfo.cause(LoadReplayCauses.C_NK) || 679 replayInfo.cause(LoadReplayCauses.C_DR) || 680 replayInfo.cause(LoadReplayCauses.C_WF)) { 681 // normal case: bank conflict or schedule error or dcache replay 682 // can replay next cycle 683 blocking(enqIndex) := false.B 684 } 685 686 // special case: tlb miss 687 when (replayInfo.cause(LoadReplayCauses.C_TM)) { 688 blocking(enqIndex) := !replayInfo.tlb_full && 689 !(io.tlb_hint.resp.valid && (io.tlb_hint.resp.bits.id === replayInfo.tlb_id || io.tlb_hint.resp.bits.replay_all)) 690 tlbHintId(enqIndex) := replayInfo.tlb_id 691 } 692 693 // special case: dcache miss 694 when (replayInfo.cause(LoadReplayCauses.C_DM) && enq.bits.handledByMSHR) { 695 blocking(enqIndex) := !replayInfo.full_fwd && // dcache miss 696 !(io.tl_d_channel.valid && io.tl_d_channel.mshrid === replayInfo.mshr_id) // no refill in this cycle 697 } 698 699 // special case: st-ld violation 700 when (replayInfo.cause(LoadReplayCauses.C_MA)) { 701 blockSqIdx(enqIndex) := replayInfo.addr_inv_sq_idx 702 strict(enqIndex) := enq.bits.uop.loadWaitStrict 703 } 704 705 // special case: data forward fail 706 when (replayInfo.cause(LoadReplayCauses.C_FF)) { 707 blockSqIdx(enqIndex) := replayInfo.data_inv_sq_idx 708 } 709 // extra info 710 replayCarryReg(enqIndex) := replayInfo.rep_carry 711 replacementUpdated(enqIndex) := enq.bits.replacementUpdated 712 missDbUpdated(enqIndex) := enq.bits.missDbUpdated 713 // update mshr_id only when the load has already been handled by mshr 714 when(enq.bits.handledByMSHR) { 715 missMSHRId(enqIndex) := replayInfo.mshr_id 716 } 717 dataInLastBeatReg(enqIndex) := dataInLastBeat 718 //dataInLastBeatReg(enqIndex) := Mux(io.l2_hint.bits.isKeyword, !dataInLastBeat, dataInLastBeat) 719 } 720 721 // 722 val schedIndex = enq.bits.schedIndex 723 when (enq.valid && enq.bits.isLoadReplay) { 724 when (!needReplay(w) || hasExceptions(w)) { 725 allocated(schedIndex) := false.B 726 freeMaskVec(schedIndex) := true.B 727 } .otherwise { 728 scheduled(schedIndex) := false.B 729 } 730 } 731 } 732 733 // vector load, all replay entries of same robidx and uopidx 734 // should be released when vlmergebuffer commit or flush 735 val vecLdCanceltmp = Wire(Vec(LoadQueueReplaySize, Vec(VecLoadPipelineWidth, Bool()))) 736 val vecLdCancel = Wire(Vec(LoadQueueReplaySize, Bool())) 737 val vecLdCommittmp = Wire(Vec(LoadQueueReplaySize, Vec(VecLoadPipelineWidth, Bool()))) 738 val vecLdCommit = Wire(Vec(LoadQueueReplaySize, Bool())) 739 for (i <- 0 until LoadQueueReplaySize) { 740 val fbk = io.vecFeedback 741 for (j <- 0 until VecLoadPipelineWidth) { 742 vecLdCanceltmp(i)(j) := allocated(i) && fbk(j).valid && fbk(j).bits.isFlush && uop(i).robIdx === fbk(j).bits.robidx && uop(i).uopIdx === fbk(j).bits.uopidx 743 vecLdCommittmp(i)(j) := allocated(i) && fbk(j).valid && fbk(j).bits.isCommit && uop(i).robIdx === fbk(j).bits.robidx && uop(i).uopIdx === fbk(j).bits.uopidx 744 } 745 vecLdCancel(i) := vecLdCanceltmp(i).reduce(_ || _) 746 vecLdCommit(i) := vecLdCommittmp(i).reduce(_ || _) 747 XSError(((vecLdCancel(i) || vecLdCommit(i)) && allocated(i)), s"vector load, should not have replay entry $i when commit or flush.\n") 748 } 749 750 // misprediction recovery / exception redirect 751 for (i <- 0 until LoadQueueReplaySize) { 752 needCancel(i) := uop(i).robIdx.needFlush(io.redirect) && allocated(i) 753 when (needCancel(i)) { 754 allocated(i) := false.B 755 freeMaskVec(i) := true.B 756 } 757 } 758 759 freeList.io.free := freeMaskVec.asUInt 760 761 io.lqFull := lqFull 762 763 // Topdown 764 val robHeadVaddr = io.debugTopDown.robHeadVaddr 765 766 val uop_wrapper = Wire(Vec(LoadQueueReplaySize, new XSBundleWithMicroOp)) 767 (uop_wrapper.zipWithIndex).foreach { 768 case (u, i) => { 769 u.uop := uop(i) 770 } 771 } 772 val lq_match_vec = (debug_vaddr.zip(allocated)).map{case(va, alloc) => alloc && (va === robHeadVaddr.bits)} 773 val rob_head_lq_match = ParallelOperation(lq_match_vec.zip(uop_wrapper), (a: Tuple2[Bool, XSBundleWithMicroOp], b: Tuple2[Bool, XSBundleWithMicroOp]) => { 774 val (a_v, a_uop) = (a._1, a._2) 775 val (b_v, b_uop) = (b._1, b._2) 776 777 val res = Mux(a_v && b_v, Mux(isAfter(a_uop.uop.robIdx, b_uop.uop.robIdx), b_uop, a_uop), 778 Mux(a_v, a_uop, 779 Mux(b_v, b_uop, 780 a_uop))) 781 (a_v || b_v, res) 782 }) 783 784 val lq_match_bits = rob_head_lq_match._2.uop 785 val lq_match = rob_head_lq_match._1 && robHeadVaddr.valid 786 val lq_match_idx = lq_match_bits.lqIdx.value 787 788 val rob_head_tlb_miss = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_TM) 789 val rob_head_nuke = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_NK) 790 val rob_head_mem_amb = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_MA) 791 val rob_head_confilct_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_BC) 792 val rob_head_forward_fail = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_FF) 793 val rob_head_mshrfull_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_DR) 794 val rob_head_dcache_miss = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_DM) 795 val rob_head_rar_nack = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_RAR) 796 val rob_head_raw_nack = lq_match && cause(lq_match_idx)(LoadReplayCauses.C_RAW) 797 val rob_head_other_replay = lq_match && (rob_head_rar_nack || rob_head_raw_nack || rob_head_forward_fail) 798 799 val rob_head_vio_replay = rob_head_nuke || rob_head_mem_amb 800 801 val rob_head_miss_in_dtlb = io.debugTopDown.robHeadMissInDTlb 802 io.debugTopDown.robHeadTlbReplay := rob_head_tlb_miss && !rob_head_miss_in_dtlb 803 io.debugTopDown.robHeadTlbMiss := rob_head_tlb_miss && rob_head_miss_in_dtlb 804 io.debugTopDown.robHeadLoadVio := rob_head_vio_replay 805 io.debugTopDown.robHeadLoadMSHR := rob_head_mshrfull_replay 806 io.debugTopDown.robHeadOtherReplay := rob_head_other_replay 807 val perfValidCount = RegNext(PopCount(allocated)) 808 809 // perf cnt 810 val enqNumber = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay)) 811 val deqNumber = PopCount(io.replay.map(_.fire)) 812 val deqBlockCount = PopCount(io.replay.map(r => r.valid && !r.ready)) 813 val replayTlbMissCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_TM))) 814 val replayMemAmbCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_MA))) 815 val replayNukeCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_NK))) 816 val replayRARRejectCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_RAR))) 817 val replayRAWRejectCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_RAW))) 818 val replayBankConflictCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_BC))) 819 val replayDCacheReplayCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_DR))) 820 val replayForwardFailCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_FF))) 821 val replayDCacheMissCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.rep_info.cause(LoadReplayCauses.C_DM))) 822 XSPerfAccumulate("enq", enqNumber) 823 XSPerfAccumulate("deq", deqNumber) 824 XSPerfAccumulate("deq_block", deqBlockCount) 825 XSPerfAccumulate("replay_full", io.lqFull) 826 XSPerfAccumulate("replay_rar_nack", replayRARRejectCount) 827 XSPerfAccumulate("replay_raw_nack", replayRAWRejectCount) 828 XSPerfAccumulate("replay_nuke", replayNukeCount) 829 XSPerfAccumulate("replay_mem_amb", replayMemAmbCount) 830 XSPerfAccumulate("replay_tlb_miss", replayTlbMissCount) 831 XSPerfAccumulate("replay_bank_conflict", replayBankConflictCount) 832 XSPerfAccumulate("replay_dcache_replay", replayDCacheReplayCount) 833 XSPerfAccumulate("replay_forward_fail", replayForwardFailCount) 834 XSPerfAccumulate("replay_dcache_miss", replayDCacheMissCount) 835 XSPerfAccumulate("replay_hint_wakeup", s0_hintSelValid) 836 XSPerfAccumulate("replay_hint_priority_beat1", io.l2_hint.valid && io.l2_hint.bits.isKeyword) 837 838 val perfEvents: Seq[(String, UInt)] = Seq( 839 ("enq", enqNumber), 840 ("deq", deqNumber), 841 ("deq_block", deqBlockCount), 842 ("replay_full", io.lqFull), 843 ("replay_rar_nack", replayRARRejectCount), 844 ("replay_raw_nack", replayRAWRejectCount), 845 ("replay_nuke", replayNukeCount), 846 ("replay_mem_amb", replayMemAmbCount), 847 ("replay_tlb_miss", replayTlbMissCount), 848 ("replay_bank_conflict", replayBankConflictCount), 849 ("replay_dcache_replay", replayDCacheReplayCount), 850 ("replay_forward_fail", replayForwardFailCount), 851 ("replay_dcache_miss", replayDCacheMissCount), 852 ) 853 generatePerfEvent() 854 // end 855} 856