1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.mem 18 19import org.chipsalliance.cde.config._ 20import chisel3._ 21import chisel3.util._ 22import utils._ 23import utility._ 24import xiangshan._ 25import xiangshan.frontend.FtqPtr 26import xiangshan.backend.rob.RobPtr 27import xiangshan.backend.Bundles.DynInst 28import xiangshan.mem.mdp._ 29import xiangshan.mem.Bundles._ 30import xiangshan.cache._ 31 32class LoadQueueRAW(implicit p: Parameters) extends XSModule 33 with HasDCacheParameters 34 with HasCircularQueuePtrHelper 35 with HasLoadHelper 36 with HasPerfEvents 37{ 38 val io = IO(new Bundle() { 39 // control 40 val redirect = Flipped(ValidIO(new Redirect)) 41 42 // violation query 43 val query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO)) 44 45 // from store unit s1 46 val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 47 48 // global rollback flush 49 val rollback = Vec(StorePipelineWidth,Output(Valid(new Redirect))) 50 51 // to LoadQueueReplay 52 val stAddrReadySqPtr = Input(new SqPtr) 53 val stIssuePtr = Input(new SqPtr) 54 val lqFull = Output(Bool()) 55 }) 56 57 private def PartialPAddrWidth: Int = 24 58 private def genPartialPAddr(paddr: UInt) = { 59 paddr(DCacheVWordOffset + PartialPAddrWidth - 1, DCacheVWordOffset) 60 } 61 62 println("LoadQueueRAW: size " + LoadQueueRAWSize) 63 // LoadQueueRAW field 64 // +-------+--------+-------+-------+-----------+ 65 // | Valid | uop |PAddr | Mask | Datavalid | 66 // +-------+--------+-------+-------+-----------+ 67 // 68 // Field descriptions: 69 // Allocated : entry has been allocated already 70 // MicroOp : inst's microOp 71 // PAddr : physical address. 72 // Mask : data mask 73 // Datavalid : data valid 74 // 75 val allocated = RegInit(VecInit(List.fill(LoadQueueRAWSize)(false.B))) // The control signals need to explicitly indicate the initial value 76 val uop = Reg(Vec(LoadQueueRAWSize, new DynInst)) 77 val paddrModule = Module(new LqPAddrModule( 78 gen = UInt(PartialPAddrWidth.W), 79 numEntries = LoadQueueRAWSize, 80 numRead = LoadPipelineWidth, 81 numWrite = LoadPipelineWidth, 82 numWBank = LoadQueueNWriteBanks, 83 numWDelay = 2, 84 numCamPort = StorePipelineWidth 85 )) 86 paddrModule.io := DontCare 87 val maskModule = Module(new LqMaskModule( 88 gen = UInt((VLEN/8).W), 89 numEntries = LoadQueueRAWSize, 90 numRead = LoadPipelineWidth, 91 numWrite = LoadPipelineWidth, 92 numWBank = LoadQueueNWriteBanks, 93 numWDelay = 2, 94 numCamPort = StorePipelineWidth 95 )) 96 maskModule.io := DontCare 97 val datavalid = RegInit(VecInit(List.fill(LoadQueueRAWSize)(false.B))) 98 99 // freeliset: store valid entries index. 100 // +---+---+--------------+-----+-----+ 101 // | 0 | 1 | ...... | n-2 | n-1 | 102 // +---+---+--------------+-----+-----+ 103 val freeList = Module(new FreeList( 104 size = LoadQueueRAWSize, 105 allocWidth = LoadPipelineWidth, 106 freeWidth = 4, 107 enablePreAlloc = true, 108 moduleName = "LoadQueueRAW freelist" 109 )) 110 freeList.io := DontCare 111 112 // LoadQueueRAW enqueue 113 val canEnqueue = io.query.map(_.req.valid) 114 val cancelEnqueue = io.query.map(_.req.bits.uop.robIdx.needFlush(io.redirect)) 115 val allAddrCheck = io.stIssuePtr === io.stAddrReadySqPtr 116 val hasAddrInvalidStore = io.query.map(_.req.bits.uop.sqIdx).map(sqIdx => { 117 Mux(!allAddrCheck, isBefore(io.stAddrReadySqPtr, sqIdx), false.B) 118 }) 119 val needEnqueue = canEnqueue.zip(hasAddrInvalidStore).zip(cancelEnqueue).map { case ((v, r), c) => v && r && !c } 120 121 // Allocate logic 122 val acceptedVec = Wire(Vec(LoadPipelineWidth, Bool())) 123 val enqIndexVec = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueRAWSize).W))) 124 125 // Enqueue 126 for ((enq, w) <- io.query.map(_.req).zipWithIndex) { 127 acceptedVec(w) := false.B 128 paddrModule.io.wen(w) := false.B 129 maskModule.io.wen(w) := false.B 130 freeList.io.doAllocate(w) := false.B 131 132 freeList.io.allocateReq(w) := true.B 133 134 // Allocate ready 135 val offset = PopCount(needEnqueue.take(w)) 136 val canAccept = freeList.io.canAllocate(offset) 137 val enqIndex = freeList.io.allocateSlot(offset) 138 enq.ready := Mux(needEnqueue(w), canAccept, true.B) 139 140 enqIndexVec(w) := enqIndex 141 when (needEnqueue(w) && enq.ready) { 142 acceptedVec(w) := true.B 143 144 freeList.io.doAllocate(w) := true.B 145 146 // Allocate new entry 147 allocated(enqIndex) := true.B 148 149 // Write paddr 150 paddrModule.io.wen(w) := true.B 151 paddrModule.io.waddr(w) := enqIndex 152 paddrModule.io.wdata(w) := genPartialPAddr(enq.bits.paddr) 153 154 // Write mask 155 maskModule.io.wen(w) := true.B 156 maskModule.io.waddr(w) := enqIndex 157 maskModule.io.wdata(w) := enq.bits.mask 158 159 // Fill info 160 uop(enqIndex) := enq.bits.uop 161 datavalid(enqIndex) := enq.bits.data_valid 162 } 163 val debug_robIdx = enq.bits.uop.robIdx.asUInt 164 XSError(needEnqueue(w) && enq.ready && allocated(enqIndex), p"LoadQueueRAW: You can not write an valid entry! check: ldu $w, robIdx $debug_robIdx") 165 } 166 167 for ((query, w) <- io.query.map(_.resp).zipWithIndex) { 168 query.valid := RegNext(io.query(w).req.valid) 169 query.bits.rep_frm_fetch := RegNext(false.B) 170 } 171 172 // LoadQueueRAW deallocate 173 val freeMaskVec = Wire(Vec(LoadQueueRAWSize, Bool())) 174 175 // init 176 freeMaskVec.map(e => e := false.B) 177 178 // when the stores that "older than" current load address were ready. 179 // current load will be released. 180 for (i <- 0 until LoadQueueRAWSize) { 181 val deqNotBlock = Mux(!allAddrCheck, !isBefore(io.stAddrReadySqPtr, uop(i).sqIdx), true.B) 182 val needCancel = uop(i).robIdx.needFlush(io.redirect) 183 184 when (allocated(i) && (deqNotBlock || needCancel)) { 185 allocated(i) := false.B 186 freeMaskVec(i) := true.B 187 } 188 } 189 190 // if need replay deallocate entry 191 val lastCanAccept = GatedValidRegNext(acceptedVec) 192 val lastAllocIndex = GatedRegNext(enqIndexVec) 193 194 for ((revoke, w) <- io.query.map(_.revoke).zipWithIndex) { 195 val revokeValid = revoke && lastCanAccept(w) 196 val revokeIndex = lastAllocIndex(w) 197 198 when (allocated(revokeIndex) && revokeValid) { 199 allocated(revokeIndex) := false.B 200 freeMaskVec(revokeIndex) := true.B 201 } 202 } 203 freeList.io.free := freeMaskVec.asUInt 204 205 io.lqFull := freeList.io.empty 206 207 /** 208 * Store-Load Memory violation detection 209 * Scheme 1(Current scheme): flush the pipeline then re-fetch from the load instruction (like old load queue). 210 * Scheme 2 : re-fetch instructions from the first instruction after the store instruction. 211 * 212 * When store writes back, it searches LoadQueue for younger load instructions 213 * with the same load physical address. They loaded wrong data and need re-execution. 214 * 215 * Cycle 0: Store Writeback 216 * Generate match vector for store address with rangeMask(stPtr, enqPtr). 217 * Cycle 1: Select oldest load from select group. 218 * Cycle x: Redirect Fire 219 * Choose the oldest load from LoadPipelineWidth oldest loads. 220 * Prepare redirect request according to the detected violation. 221 * Fire redirect request (if valid) 222 */ 223 // SelectGroup 0 SelectGroup 1 SelectGroup y 224 // stage 0: lq lq lq ...... lq lq lq ....... lq lq lq 225 // | | | | | | | | | 226 // stage 1: lq lq lq ...... lq lq lq ....... lq lq lq 227 // \ | / ...... \ | / ....... \ | / 228 // stage 2: lq lq lq 229 // \ | / ....... \ | / ........ \ | / 230 // stage 3: lq lq lq 231 // ... 232 // ... 233 // | 234 // stage x: lq 235 // | 236 // rollback req 237 238 // select logic 239 val SelectGroupSize = RollbackGroupSize 240 val lgSelectGroupSize = log2Ceil(SelectGroupSize) 241 val TotalSelectCycles = scala.math.ceil(log2Ceil(LoadQueueRAWSize).toFloat / lgSelectGroupSize).toInt + 1 242 243 def selectPartialOldest[T <: XSBundleWithMicroOp](valid: Seq[Bool], bits: Seq[T]): (Seq[Bool], Seq[T]) = { 244 assert(valid.length == bits.length) 245 if (valid.length == 0 || valid.length == 1) { 246 (valid, bits) 247 } else if (valid.length == 2) { 248 val res = Seq.fill(2)(Wire(ValidIO(chiselTypeOf(bits(0))))) 249 for (i <- res.indices) { 250 res(i).valid := valid(i) 251 res(i).bits := bits(i) 252 } 253 val oldest = Mux(valid(0) && valid(1), Mux(isAfter(bits(0).uop.robIdx, bits(1).uop.robIdx), res(1), res(0)), Mux(valid(0) && !valid(1), res(0), res(1))) 254 (Seq(oldest.valid), Seq(oldest.bits)) 255 } else { 256 val left = selectPartialOldest(valid.take(valid.length / 2), bits.take(bits.length / 2)) 257 val right = selectPartialOldest(valid.takeRight(valid.length - (valid.length / 2)), bits.takeRight(bits.length - (bits.length / 2))) 258 selectPartialOldest(left._1 ++ right._1, left._2 ++ right._2) 259 } 260 } 261 262 def selectOldest[T <: XSBundleWithMicroOp](valid: Seq[Bool], bits: Seq[T]): (Seq[Bool], Seq[T]) = { 263 assert(valid.length == bits.length) 264 val numSelectGroups = scala.math.ceil(valid.length.toFloat / SelectGroupSize).toInt 265 266 // group info 267 val selectValidGroups = valid.grouped(SelectGroupSize).toList 268 val selectBitsGroups = bits.grouped(SelectGroupSize).toList 269 // select logic 270 if (valid.length <= SelectGroupSize) { 271 val (selValid, selBits) = selectPartialOldest(valid, bits) 272 val selValidNext = GatedValidRegNext(selValid(0)) 273 val selBitsNext = RegEnable(selBits(0), selValid(0)) 274 (Seq(selValidNext && !selBitsNext.uop.robIdx.needFlush(RegNext(io.redirect))), Seq(selBitsNext)) 275 } else { 276 val select = (0 until numSelectGroups).map(g => { 277 val (selValid, selBits) = selectPartialOldest(selectValidGroups(g), selectBitsGroups(g)) 278 val selValidNext = RegNext(selValid(0)) 279 val selBitsNext = RegEnable(selBits(0), selValid(0)) 280 (selValidNext && !selBitsNext.uop.robIdx.needFlush(io.redirect) && !selBitsNext.uop.robIdx.needFlush(RegNext(io.redirect)), selBitsNext) 281 }) 282 selectOldest(select.map(_._1), select.map(_._2)) 283 } 284 } 285 286 val storeIn = io.storeIn 287 288 def detectRollback(i: Int) = { 289 paddrModule.io.violationMdata(i) := genPartialPAddr(RegEnable(storeIn(i).bits.paddr, storeIn(i).valid)) 290 maskModule.io.violationMdata(i) := RegEnable(storeIn(i).bits.mask, storeIn(i).valid) 291 292 val addrMaskMatch = paddrModule.io.violationMmask(i).asUInt & maskModule.io.violationMmask(i).asUInt 293 val entryNeedCheck = GatedValidRegNext(VecInit((0 until LoadQueueRAWSize).map(j => { 294 allocated(j) && storeIn(i).valid && isAfter(uop(j).robIdx, storeIn(i).bits.uop.robIdx) && datavalid(j) && !uop(j).robIdx.needFlush(io.redirect) 295 }))) 296 val lqViolationSelVec = VecInit((0 until LoadQueueRAWSize).map(j => { 297 addrMaskMatch(j) && entryNeedCheck(j) 298 })) 299 300 val lqViolationSelUopExts = uop.map(uop => { 301 val wrapper = Wire(new XSBundleWithMicroOp) 302 wrapper.uop := uop 303 wrapper 304 }) 305 306 // select logic 307 val lqSelect: (Seq[Bool], Seq[XSBundleWithMicroOp]) = selectOldest(lqViolationSelVec, lqViolationSelUopExts) 308 309 // select one inst 310 val lqViolation = lqSelect._1(0) 311 val lqViolationUop = lqSelect._2(0).uop 312 313 XSDebug( 314 lqViolation, 315 "need rollback (ld wb before store) pc %x robidx %d target %x\n", 316 storeIn(i).bits.uop.pc, storeIn(i).bits.uop.robIdx.asUInt, lqViolationUop.robIdx.asUInt 317 ) 318 319 (lqViolation, lqViolationUop) 320 } 321 322 // select rollback (part1) and generate rollback request 323 // rollback check 324 // Lq rollback seq check is done in s3 (next stage), as getting rollbackLq MicroOp is slow 325 val rollbackLqWb = Wire(Vec(StorePipelineWidth, Valid(new DynInst))) 326 val stFtqIdx = Wire(Vec(StorePipelineWidth, new FtqPtr)) 327 val stFtqOffset = Wire(Vec(StorePipelineWidth, UInt(log2Up(PredictWidth).W))) 328 for (w <- 0 until StorePipelineWidth) { 329 val detectedRollback = detectRollback(w) 330 rollbackLqWb(w).valid := detectedRollback._1 && DelayN(storeIn(w).valid && !storeIn(w).bits.miss, TotalSelectCycles) 331 rollbackLqWb(w).bits := detectedRollback._2 332 stFtqIdx(w) := DelayNWithValid(storeIn(w).bits.uop.ftqPtr, storeIn(w).valid, TotalSelectCycles)._2 333 stFtqOffset(w) := DelayNWithValid(storeIn(w).bits.uop.ftqOffset, storeIn(w).valid, TotalSelectCycles)._2 334 } 335 336 // select rollback (part2), generate rollback request, then fire rollback request 337 // Note that we use robIdx - 1.U to flush the load instruction itself. 338 // Thus, here if last cycle's robIdx equals to this cycle's robIdx, it still triggers the redirect. 339 340 // select uop in parallel 341 342 val allRedirect = (0 until StorePipelineWidth).map(i => { 343 val redirect = Wire(Valid(new Redirect)) 344 redirect.valid := rollbackLqWb(i).valid 345 redirect.bits := DontCare 346 redirect.bits.isRVC := rollbackLqWb(i).bits.preDecodeInfo.isRVC 347 redirect.bits.robIdx := rollbackLqWb(i).bits.robIdx 348 redirect.bits.ftqIdx := rollbackLqWb(i).bits.ftqPtr 349 redirect.bits.ftqOffset := rollbackLqWb(i).bits.ftqOffset 350 redirect.bits.stFtqIdx := stFtqIdx(i) 351 redirect.bits.stFtqOffset := stFtqOffset(i) 352 redirect.bits.level := RedirectLevel.flush 353 redirect.bits.cfiUpdate.target := rollbackLqWb(i).bits.pc 354 redirect.bits.debug_runahead_checkpoint_id := rollbackLqWb(i).bits.debugInfo.runahead_checkpoint_id 355 redirect 356 }) 357 io.rollback := allRedirect 358 359 // perf cnt 360 val canEnqCount = PopCount(io.query.map(_.req.fire)) 361 val validCount = freeList.io.validCount 362 val allowEnqueue = validCount <= (LoadQueueRAWSize - LoadPipelineWidth).U 363 val rollbaclValid = io.rollback.map(_.valid).reduce(_ || _).asUInt 364 365 QueuePerf(LoadQueueRAWSize, validCount, !allowEnqueue) 366 XSPerfAccumulate("enqs", canEnqCount) 367 XSPerfAccumulate("stld_rollback", rollbaclValid) 368 val perfEvents: Seq[(String, UInt)] = Seq( 369 ("enq ", canEnqCount), 370 ("stld_rollback", rollbaclValid), 371 ) 372 generatePerfEvent() 373 // end 374} 375