xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LoadQueueRAW.scala (revision 71489510d9fea663a88bc55495efdba34d5256d1)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import chisel3._
20import chisel3.util._
21import org.chipsalliance.cde.config._
22import xiangshan._
23import xiangshan.backend.rob.RobPtr
24import xiangshan.cache._
25import xiangshan.frontend.FtqPtr
26import xiangshan.mem.mdp._
27import utils._
28import utility._
29import xiangshan.backend.Bundles.DynInst
30
31class LoadQueueRAW(implicit p: Parameters) extends XSModule
32  with HasDCacheParameters
33  with HasCircularQueuePtrHelper
34  with HasLoadHelper
35  with HasPerfEvents
36{
37  val io = IO(new Bundle() {
38    // control
39    val redirect = Flipped(ValidIO(new Redirect))
40
41    // violation query
42    val query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO))
43
44    // from store unit s1
45    val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
46    val vecStoreIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
47
48    // global rollback flush
49    val rollback = Output(Valid(new Redirect))
50
51    // to LoadQueueReplay
52    val stAddrReadySqPtr = Input(new SqPtr)
53    val stIssuePtr       = Input(new SqPtr)
54    val lqFull           = Output(Bool())
55  })
56
57  println("LoadQueueRAW: size " + LoadQueueRAWSize)
58  //  LoadQueueRAW field
59  //  +-------+--------+-------+-------+-----------+
60  //  | Valid |  uop   |PAddr  | Mask  | Datavalid |
61  //  +-------+--------+-------+-------+-----------+
62  //
63  //  Field descriptions:
64  //  Allocated   : entry has been allocated already
65  //  MicroOp     : inst's microOp
66  //  PAddr       : physical address.
67  //  Mask        : data mask
68  //  Datavalid   : data valid
69  //
70  val allocated = RegInit(VecInit(List.fill(LoadQueueRAWSize)(false.B))) // The control signals need to explicitly indicate the initial value
71  val uop = Reg(Vec(LoadQueueRAWSize, new DynInst))
72  val paddrModule = Module(new LqPAddrModule(
73    gen = UInt(PAddrBits.W),
74    numEntries = LoadQueueRAWSize,
75    numRead = LoadPipelineWidth,
76    numWrite = LoadPipelineWidth,
77    numWBank = LoadQueueNWriteBanks,
78    numWDelay = 2,
79    numCamPort = StorePipelineWidth
80  ))
81  paddrModule.io := DontCare
82  val maskModule = Module(new LqMaskModule(
83    gen = UInt((VLEN/8).W),
84    numEntries = LoadQueueRAWSize,
85    numRead = LoadPipelineWidth,
86    numWrite = LoadPipelineWidth,
87    numWBank = LoadQueueNWriteBanks,
88    numWDelay = 2,
89    numCamPort = StorePipelineWidth
90  ))
91  maskModule.io := DontCare
92  val datavalid = RegInit(VecInit(List.fill(LoadQueueRAWSize)(false.B)))
93
94  // freeliset: store valid entries index.
95  // +---+---+--------------+-----+-----+
96  // | 0 | 1 |      ......  | n-2 | n-1 |
97  // +---+---+--------------+-----+-----+
98  val freeList = Module(new FreeList(
99    size = LoadQueueRAWSize,
100    allocWidth = LoadPipelineWidth,
101    freeWidth = 4,
102    enablePreAlloc = true,
103    moduleName = "LoadQueueRAW freelist"
104  ))
105  freeList.io := DontCare
106
107  //  LoadQueueRAW enqueue
108  val canEnqueue = io.query.map(_.req.valid)
109  val cancelEnqueue = io.query.map(_.req.bits.uop.robIdx.needFlush(io.redirect))
110  val allAddrCheck = io.stIssuePtr === io.stAddrReadySqPtr
111  val hasAddrInvalidStore = io.query.map(_.req.bits.uop.sqIdx).map(sqIdx => {
112    Mux(!allAddrCheck, isBefore(io.stAddrReadySqPtr, sqIdx), false.B)
113  })
114  val needEnqueue = canEnqueue.zip(hasAddrInvalidStore).zip(cancelEnqueue).map { case ((v, r), c) => v && r && !c }
115  val bypassPAddr = Reg(Vec(LoadPipelineWidth, UInt(PAddrBits.W)))
116  val bypassMask = Reg(Vec(LoadPipelineWidth, UInt((VLEN/8).W)))
117
118  // Allocate logic
119  val acceptedVec = Wire(Vec(LoadPipelineWidth, Bool()))
120  val enqIndexVec = Wire(Vec(LoadPipelineWidth, UInt()))
121
122  // Enqueue
123  for ((enq, w) <- io.query.map(_.req).zipWithIndex) {
124    acceptedVec(w) := false.B
125    paddrModule.io.wen(w) := false.B
126    maskModule.io.wen(w) := false.B
127    freeList.io.doAllocate(w) := false.B
128
129    freeList.io.allocateReq(w) := true.B
130
131    //  Allocate ready
132    val offset = PopCount(needEnqueue.take(w))
133    val canAccept = freeList.io.canAllocate(offset)
134    val enqIndex = freeList.io.allocateSlot(offset)
135    enq.ready := Mux(needEnqueue(w), canAccept, true.B)
136
137    enqIndexVec(w) := enqIndex
138    when (needEnqueue(w) && enq.ready) {
139      acceptedVec(w) := true.B
140
141      val debug_robIdx = enq.bits.uop.robIdx.asUInt
142      XSError(allocated(enqIndex), p"LoadQueueRAW: You can not write an valid entry! check: ldu $w, robIdx $debug_robIdx")
143
144      freeList.io.doAllocate(w) := true.B
145
146      //  Allocate new entry
147      allocated(enqIndex) := true.B
148
149      //  Write paddr
150      paddrModule.io.wen(w) := true.B
151      paddrModule.io.waddr(w) := enqIndex
152      paddrModule.io.wdata(w) := enq.bits.paddr
153      bypassPAddr(w) := enq.bits.paddr
154
155      //  Write mask
156      maskModule.io.wen(w) := true.B
157      maskModule.io.waddr(w) := enqIndex
158      maskModule.io.wdata(w) := enq.bits.mask
159      bypassMask(w) := enq.bits.mask
160
161      //  Fill info
162      uop(enqIndex) := enq.bits.uop
163      datavalid(enqIndex) := enq.bits.data_valid
164    }
165  }
166
167  for ((query, w) <- io.query.map(_.resp).zipWithIndex) {
168    query.valid := RegNext(io.query(w).req.valid)
169    query.bits.rep_frm_fetch := RegNext(false.B)
170  }
171
172  //  LoadQueueRAW deallocate
173  val freeMaskVec = Wire(Vec(LoadQueueRAWSize, Bool()))
174
175  // init
176  freeMaskVec.map(e => e := false.B)
177
178  // when the stores that "older than" current load address were ready.
179  // current load will be released.
180  for (i <- 0 until LoadQueueRAWSize) {
181    val deqNotBlock = Mux(!allAddrCheck, !isBefore(io.stAddrReadySqPtr, uop(i).sqIdx), true.B)
182    val needCancel = uop(i).robIdx.needFlush(io.redirect)
183
184    when (allocated(i) && (deqNotBlock || needCancel)) {
185      allocated(i) := false.B
186      freeMaskVec(i) := true.B
187    }
188  }
189
190  // if need replay deallocate entry
191  val lastCanAccept = RegNext(acceptedVec)
192  val lastAllocIndex = RegNext(enqIndexVec)
193
194  for ((revoke, w) <- io.query.map(_.revoke).zipWithIndex) {
195    val revokeValid = revoke && lastCanAccept(w)
196    val revokeIndex = lastAllocIndex(w)
197
198    when (allocated(revokeIndex) && revokeValid) {
199      allocated(revokeIndex) := false.B
200      freeMaskVec(revokeIndex) := true.B
201    }
202  }
203  freeList.io.free := freeMaskVec.asUInt
204
205  io.lqFull := freeList.io.empty
206
207  /**
208    * Store-Load Memory violation detection
209    * Scheme 1(Current scheme): flush the pipeline then re-fetch from the load instruction (like old load queue).
210    * Scheme 2                : re-fetch instructions from the first instruction after the store instruction.
211    *
212    * When store writes back, it searches LoadQueue for younger load instructions
213    * with the same load physical address. They loaded wrong data and need re-execution.
214    *
215    * Cycle 0: Store Writeback
216    *   Generate match vector for store address with rangeMask(stPtr, enqPtr).
217    * Cycle 1: Select oldest load from select group.
218    * Cycle x: Redirect Fire
219    *   Choose the oldest load from LoadPipelineWidth oldest loads.
220    *   Prepare redirect request according to the detected violation.
221    *   Fire redirect request (if valid)
222    */
223  //              SelectGroup 0         SelectGroup 1          SelectGroup y
224  // stage 0:       lq  lq  lq  ......    lq  lq  lq  .......    lq  lq  lq
225  //                |   |   |             |   |   |              |   |   |
226  // stage 1:       lq  lq  lq  ......    lq  lq  lq  .......    lq  lq  lq
227  //                 \  |  /    ......     \  |  /    .......     \  |  /
228  // stage 2:           lq                    lq                     lq
229  //                     \  |  /  .......  \  |  /   ........  \  |  /
230  // stage 3:               lq                lq                  lq
231  //                                          ...
232  //                                          ...
233  //                                           |
234  // stage x:                                  lq
235  //                                           |
236  //                                       rollback req
237
238  // select logic
239  val SelectGroupSize = RollbackGroupSize
240  val lgSelectGroupSize = log2Ceil(SelectGroupSize)
241  val TotalSelectCycles = scala.math.ceil(log2Ceil(LoadQueueRAWSize).toFloat / lgSelectGroupSize).toInt + 1
242
243  def selectPartialOldest[T <: XSBundleWithMicroOp](valid: Seq[Bool], bits: Seq[T]): (Seq[Bool], Seq[T]) = {
244    assert(valid.length == bits.length)
245    if (valid.length == 0 || valid.length == 1) {
246      (valid, bits)
247    } else if (valid.length == 2) {
248      val res = Seq.fill(2)(Wire(ValidIO(chiselTypeOf(bits(0)))))
249      for (i <- res.indices) {
250        res(i).valid := valid(i)
251        res(i).bits := bits(i)
252      }
253      val oldest = Mux(valid(0) && valid(1), Mux(isAfter(bits(0).uop.robIdx, bits(1).uop.robIdx), res(1), res(0)), Mux(valid(0) && !valid(1), res(0), res(1)))
254      (Seq(oldest.valid), Seq(oldest.bits))
255    } else {
256      val left = selectPartialOldest(valid.take(valid.length / 2), bits.take(bits.length / 2))
257      val right = selectPartialOldest(valid.takeRight(valid.length - (valid.length / 2)), bits.takeRight(bits.length - (bits.length / 2)))
258      selectPartialOldest(left._1 ++ right._1, left._2 ++ right._2)
259    }
260  }
261
262  def selectOldest[T <: XSBundleWithMicroOp](valid: Seq[Bool], bits: Seq[T]): (Seq[Bool], Seq[T]) = {
263    assert(valid.length == bits.length)
264    val numSelectGroups = scala.math.ceil(valid.length.toFloat / SelectGroupSize).toInt
265
266    // group info
267    val selectValidGroups =
268      if (valid.length <= SelectGroupSize) {
269        Seq(valid)
270      } else {
271        (0 until numSelectGroups).map(g => {
272          if (valid.length < (g + 1) * SelectGroupSize) {
273            valid.takeRight(valid.length - g * SelectGroupSize)
274          } else {
275            (0 until SelectGroupSize).map(j => valid(g * SelectGroupSize + j))
276          }
277        })
278      }
279    val selectBitsGroups =
280      if (bits.length <= SelectGroupSize) {
281        Seq(bits)
282      } else {
283        (0 until numSelectGroups).map(g => {
284          if (bits.length < (g + 1) * SelectGroupSize) {
285            bits.takeRight(bits.length - g * SelectGroupSize)
286          } else {
287            (0 until SelectGroupSize).map(j => bits(g * SelectGroupSize + j))
288          }
289        })
290      }
291
292    // select logic
293    if (valid.length <= SelectGroupSize) {
294      val (selValid, selBits) = selectPartialOldest(valid, bits)
295      val selValidNext = RegNext(selValid(0))
296      val selBitsNext = RegNext(selBits(0))
297      (Seq(selValidNext && !selBitsNext.uop.robIdx.needFlush(io.redirect) && !selBitsNext.uop.robIdx.needFlush(RegNext(io.redirect))), Seq(selBitsNext))
298    } else {
299      val select = (0 until numSelectGroups).map(g => {
300        val (selValid, selBits) = selectPartialOldest(selectValidGroups(g), selectBitsGroups(g))
301        val selValidNext = RegNext(selValid(0))
302        val selBitsNext = RegNext(selBits(0))
303        (selValidNext && !selBitsNext.uop.robIdx.needFlush(io.redirect) && !selBitsNext.uop.robIdx.needFlush(RegNext(io.redirect)), selBitsNext)
304      })
305      selectOldest(select.map(_._1), select.map(_._2))
306    }
307  }
308
309  val storeIn = (io.storeIn zip io.vecStoreIn).map { case (scalar, vector) =>
310    Mux(vector.valid, vector, scalar)
311  }
312
313  def detectRollback(i: Int) = {
314    paddrModule.io.violationMdata(i) := storeIn(i).bits.paddr
315    maskModule.io.violationMdata(i) := storeIn(i).bits.mask
316
317    val bypassPaddrMask = RegNext(VecInit((0 until LoadPipelineWidth).map(j => bypassPAddr(j)(PAddrBits-1, DCacheVWordOffset) === storeIn(i).bits.paddr(PAddrBits-1, DCacheVWordOffset))))
318    val bypassMMask = RegNext(VecInit((0 until LoadPipelineWidth).map(j => (bypassMask(j) & storeIn(i).bits.mask).orR)))
319    val bypassMaskUInt = (0 until LoadPipelineWidth).map(j =>
320      Fill(LoadQueueRAWSize, RegNext(RegNext(io.query(j).req.fire))) & Mux(bypassPaddrMask(j) && bypassMMask(j), UIntToOH(RegNext(RegNext(enqIndexVec(j)))), 0.U(LoadQueueRAWSize.W))
321    ).reduce(_|_)
322
323    val addrMaskMatch = RegNext(paddrModule.io.violationMmask(i).asUInt & maskModule.io.violationMmask(i).asUInt) | bypassMaskUInt
324    val entryNeedCheck = RegNext(VecInit((0 until LoadQueueRAWSize).map(j => {
325      allocated(j) && isAfter(uop(j).robIdx, storeIn(i).bits.uop.robIdx) && datavalid(j) && !uop(j).robIdx.needFlush(io.redirect)
326    })))
327    val lqViolationSelVec = VecInit((0 until LoadQueueRAWSize).map(j => {
328      addrMaskMatch(j) && entryNeedCheck(j)
329    }))
330
331    val lqViolationSelUopExts = uop.map(uop => {
332      val wrapper = Wire(new XSBundleWithMicroOp)
333      wrapper.uop := uop
334      wrapper
335    })
336
337    // select logic
338    val lqSelect = selectOldest(lqViolationSelVec, lqViolationSelUopExts)
339
340    // select one inst
341    val lqViolation = lqSelect._1(0)
342    val lqViolationUop = lqSelect._2(0).uop
343
344    XSDebug(
345      lqViolation,
346      "need rollback (ld wb before store) pc %x robidx %d target %x\n",
347      storeIn(i).bits.uop.pc, storeIn(i).bits.uop.robIdx.asUInt, lqViolationUop.robIdx.asUInt
348    )
349
350    (lqViolation, lqViolationUop)
351  }
352
353  // select rollback (part1) and generate rollback request
354  // rollback check
355  // Lq rollback seq check is done in s3 (next stage), as getting rollbackLq MicroOp is slow
356  val rollbackLqWb = Wire(Vec(StorePipelineWidth, Valid(new DynInst)))
357  val stFtqIdx = Wire(Vec(StorePipelineWidth, new FtqPtr))
358  val stFtqOffset = Wire(Vec(StorePipelineWidth, UInt(log2Up(PredictWidth).W)))
359  for (w <- 0 until StorePipelineWidth) {
360    val detectedRollback = detectRollback(w)
361    rollbackLqWb(w).valid := detectedRollback._1 && DelayN(storeIn(w).valid && !storeIn(w).bits.miss, TotalSelectCycles)
362    rollbackLqWb(w).bits  := detectedRollback._2
363    stFtqIdx(w) := DelayN(storeIn(w).bits.uop.ftqPtr, TotalSelectCycles)
364    stFtqOffset(w) := DelayN(storeIn(w).bits.uop.ftqOffset, TotalSelectCycles)
365  }
366
367  // select rollback (part2), generate rollback request, then fire rollback request
368  // Note that we use robIdx - 1.U to flush the load instruction itself.
369  // Thus, here if last cycle's robIdx equals to this cycle's robIdx, it still triggers the redirect.
370
371  // select uop in parallel
372  def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = {
373    val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx)))
374    val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j =>
375      (if (j < i) !xs(j).valid || compareVec(i)(j)
376      else if (j == i) xs(i).valid
377      else !xs(j).valid || !compareVec(j)(i))
378    )).andR))
379    resultOnehot
380  }
381  val allRedirect = (0 until StorePipelineWidth).map(i => {
382    val redirect = Wire(Valid(new Redirect))
383    redirect.valid := rollbackLqWb(i).valid
384    redirect.bits             := DontCare
385    redirect.bits.isRVC       := rollbackLqWb(i).bits.preDecodeInfo.isRVC
386    redirect.bits.robIdx      := rollbackLqWb(i).bits.robIdx
387    redirect.bits.ftqIdx      := rollbackLqWb(i).bits.ftqPtr
388    redirect.bits.ftqOffset   := rollbackLqWb(i).bits.ftqOffset
389    redirect.bits.stFtqIdx    := stFtqIdx(i)
390    redirect.bits.stFtqOffset := stFtqOffset(i)
391    redirect.bits.level       := RedirectLevel.flush
392    redirect.bits.cfiUpdate.target := rollbackLqWb(i).bits.pc
393    redirect.bits.debug_runahead_checkpoint_id := rollbackLqWb(i).bits.debugInfo.runahead_checkpoint_id
394    redirect
395  })
396  val oldestOneHot = selectOldestRedirect(allRedirect)
397  val oldestRedirect = Mux1H(oldestOneHot, allRedirect)
398  io.rollback := oldestRedirect
399
400  // perf cnt
401  val canEnqCount = PopCount(io.query.map(_.req.fire))
402  val validCount = freeList.io.validCount
403  val allowEnqueue = validCount <= (LoadQueueRAWSize - LoadPipelineWidth).U
404
405  QueuePerf(LoadQueueRAWSize, validCount, !allowEnqueue)
406  XSPerfAccumulate("enqs", canEnqCount)
407  XSPerfAccumulate("stld_rollback", io.rollback.valid)
408  val perfEvents: Seq[(String, UInt)] = Seq(
409    ("enq ", canEnqCount),
410    ("stld_rollback", io.rollback.valid),
411  )
412  generatePerfEvent()
413  // end
414}