xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LoadMisalignBuffer.scala (revision 4ec1f4627558e8f6ca953d0fee8586429f064bac)
141d8d239Shappy-lx/***************************************************************************************
241d8d239Shappy-lx* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
341d8d239Shappy-lx* Copyright (c) 2020-2021 Peng Cheng Laboratory
441d8d239Shappy-lx*
541d8d239Shappy-lx* XiangShan is licensed under Mulan PSL v2.
641d8d239Shappy-lx* You can use this software according to the terms and conditions of the Mulan PSL v2.
741d8d239Shappy-lx* You may obtain a copy of Mulan PSL v2 at:
841d8d239Shappy-lx*          http://license.coscl.org.cn/MulanPSL2
941d8d239Shappy-lx*
1041d8d239Shappy-lx* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1141d8d239Shappy-lx* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1241d8d239Shappy-lx* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1341d8d239Shappy-lx*
1441d8d239Shappy-lx* See the Mulan PSL v2 for more details.
1541d8d239Shappy-lx***************************************************************************************/
1641d8d239Shappy-lx
1741d8d239Shappy-lxpackage xiangshan.mem
1841d8d239Shappy-lx
1941d8d239Shappy-lximport org.chipsalliance.cde.config.Parameters
2041d8d239Shappy-lximport chisel3._
2141d8d239Shappy-lximport chisel3.util._
2241d8d239Shappy-lximport utils._
2341d8d239Shappy-lximport utility._
2441d8d239Shappy-lximport xiangshan._
259e12e8edScz4eimport xiangshan.ExceptionNO._
269e12e8edScz4eimport xiangshan.frontend.FtqPtr
2741d8d239Shappy-lximport xiangshan.backend.fu.FuConfig._
28e7ab4635SHuijin Liimport xiangshan.backend.fu.FuType
2941d8d239Shappy-lximport xiangshan.backend.fu.fpu.FPU
3041d8d239Shappy-lximport xiangshan.backend.rob.RobLsqIO
319e12e8edScz4eimport xiangshan.mem.Bundles._
3241d8d239Shappy-lximport xiangshan.backend.rob.RobPtr
3341d8d239Shappy-lximport xiangshan.backend.Bundles.{MemExuOutput, DynInst}
34282dd18cSsfencevmaimport xiangshan.backend.fu.FuConfig.LduCfg
359e12e8edScz4eimport xiangshan.cache.mmu.HasTlbConst
369e12e8edScz4eimport xiangshan.cache._
379e12e8edScz4eimport xiangshan.cache.wpu.ReplayCarry
3841d8d239Shappy-lx
3941d8d239Shappy-lxclass LoadMisalignBuffer(implicit p: Parameters) extends XSModule
4041d8d239Shappy-lx  with HasCircularQueuePtrHelper
4141d8d239Shappy-lx  with HasLoadHelper
4221f3709aShappy-lx  with HasTlbConst
4341d8d239Shappy-lx{
4441d8d239Shappy-lx  private val enqPortNum = LoadPipelineWidth
4541d8d239Shappy-lx  private val maxSplitNum = 2
4641d8d239Shappy-lx
4741d8d239Shappy-lx  require(maxSplitNum == 2)
4841d8d239Shappy-lx
4941d8d239Shappy-lx  private val LB = "b00".U(2.W)
5041d8d239Shappy-lx  private val LH = "b01".U(2.W)
5141d8d239Shappy-lx  private val LW = "b10".U(2.W)
5241d8d239Shappy-lx  private val LD = "b11".U(2.W)
5341d8d239Shappy-lx
5441d8d239Shappy-lx  // encode of how many bytes to shift or truncate
5541d8d239Shappy-lx  private val BYTE0 = "b000".U(3.W)
5641d8d239Shappy-lx  private val BYTE1 = "b001".U(3.W)
5741d8d239Shappy-lx  private val BYTE2 = "b010".U(3.W)
5841d8d239Shappy-lx  private val BYTE3 = "b011".U(3.W)
5941d8d239Shappy-lx  private val BYTE4 = "b100".U(3.W)
6041d8d239Shappy-lx  private val BYTE5 = "b101".U(3.W)
6141d8d239Shappy-lx  private val BYTE6 = "b110".U(3.W)
6241d8d239Shappy-lx  private val BYTE7 = "b111".U(3.W)
6341d8d239Shappy-lx
6441d8d239Shappy-lx  def getMask(sizeEncode: UInt) = LookupTree(sizeEncode, List(
6541d8d239Shappy-lx    LB -> 0x1.U, // lb
6641d8d239Shappy-lx    LH -> 0x3.U, // lh
6741d8d239Shappy-lx    LW -> 0xf.U, // lw
6841d8d239Shappy-lx    LD -> 0xff.U  // ld
6941d8d239Shappy-lx  ))
7041d8d239Shappy-lx
7141d8d239Shappy-lx  def getShiftAndTruncateData(shiftEncode: UInt, truncateEncode: UInt, data: UInt) = {
7241d8d239Shappy-lx    val shiftData = LookupTree(shiftEncode, List(
7341d8d239Shappy-lx      BYTE0 -> data(63,    0),
7441d8d239Shappy-lx      BYTE1 -> data(63,    8),
7541d8d239Shappy-lx      BYTE2 -> data(63,   16),
7641d8d239Shappy-lx      BYTE3 -> data(63,   24),
7741d8d239Shappy-lx      BYTE4 -> data(63,   32),
7841d8d239Shappy-lx      BYTE5 -> data(63,   40),
7941d8d239Shappy-lx      BYTE6 -> data(63,   48),
8041d8d239Shappy-lx      BYTE7 -> data(63,   56)
8141d8d239Shappy-lx    ))
8241d8d239Shappy-lx    val truncateData = LookupTree(truncateEncode, List(
8341d8d239Shappy-lx      BYTE0 -> 0.U(XLEN.W), // can not truncate with 0 byte width
8441d8d239Shappy-lx      BYTE1 -> shiftData(7,    0),
8541d8d239Shappy-lx      BYTE2 -> shiftData(15,   0),
8641d8d239Shappy-lx      BYTE3 -> shiftData(23,   0),
8741d8d239Shappy-lx      BYTE4 -> shiftData(31,   0),
8841d8d239Shappy-lx      BYTE5 -> shiftData(39,   0),
8941d8d239Shappy-lx      BYTE6 -> shiftData(47,   0),
9041d8d239Shappy-lx      BYTE7 -> shiftData(55,   0)
9141d8d239Shappy-lx    ))
9241d8d239Shappy-lx    truncateData(XLEN - 1, 0)
9341d8d239Shappy-lx  }
9441d8d239Shappy-lx
9541d8d239Shappy-lx  def selectOldest[T <: LqWriteBundle](valid: Seq[Bool], bits: Seq[T]): (Seq[Bool], Seq[T]) = {
9641d8d239Shappy-lx    assert(valid.length == bits.length)
9741d8d239Shappy-lx    if (valid.length == 0 || valid.length == 1) {
9841d8d239Shappy-lx      (valid, bits)
9941d8d239Shappy-lx    } else if (valid.length == 2) {
10041d8d239Shappy-lx      val res = Seq.fill(2)(Wire(ValidIO(chiselTypeOf(bits(0)))))
10141d8d239Shappy-lx      for (i <- res.indices) {
10241d8d239Shappy-lx        res(i).valid := valid(i)
10341d8d239Shappy-lx        res(i).bits := bits(i)
10441d8d239Shappy-lx      }
10541d8d239Shappy-lx      val oldest = Mux(valid(0) && valid(1),
10641d8d239Shappy-lx        Mux(isAfter(bits(0).uop.robIdx, bits(1).uop.robIdx) ||
107b240e1c0SAnzooooo          (bits(0).uop.robIdx === bits(1).uop.robIdx && bits(0).uop.uopIdx > bits(1).uop.uopIdx), res(1), res(0)),
10841d8d239Shappy-lx        Mux(valid(0) && !valid(1), res(0), res(1)))
10941d8d239Shappy-lx      (Seq(oldest.valid), Seq(oldest.bits))
11041d8d239Shappy-lx    } else {
11141d8d239Shappy-lx      val left = selectOldest(valid.take(valid.length / 2), bits.take(bits.length / 2))
11241d8d239Shappy-lx      val right = selectOldest(valid.takeRight(valid.length - (valid.length / 2)), bits.takeRight(bits.length - (bits.length / 2)))
11341d8d239Shappy-lx      selectOldest(left._1 ++ right._1, left._2 ++ right._2)
11441d8d239Shappy-lx    }
11541d8d239Shappy-lx  }
11641d8d239Shappy-lx
11741d8d239Shappy-lx  val io = IO(new Bundle() {
11841d8d239Shappy-lx    val redirect        = Flipped(Valid(new Redirect))
119*4ec1f462Scz4e    val enq             = Vec(enqPortNum, Flipped(new MisalignBufferEnqIO))
12041d8d239Shappy-lx    val rob             = Flipped(new RobLsqIO)
12141d8d239Shappy-lx    val splitLoadReq    = Decoupled(new LsPipelineBundle)
12241d8d239Shappy-lx    val splitLoadResp   = Flipped(Valid(new LqWriteBundle))
12341d8d239Shappy-lx    val writeBack       = Decoupled(new MemExuOutput)
124b240e1c0SAnzooooo    val vecWriteBack    = Decoupled(new VecPipelineFeedbackIO(isVStore = false))
125b240e1c0SAnzooooo    val loadOutValid    = Input(Bool())
126b240e1c0SAnzooooo    val loadVecOutValid = Input(Bool())
12741d8d239Shappy-lx    val overwriteExpBuf = Output(new XSBundle {
12841d8d239Shappy-lx      val valid  = Bool()
129db6cfb5aSHaoyuan Feng      val vaddr  = UInt(XLEN.W)
13046e9ee74SHaoyuan Feng      val isHyper = Bool()
131db6cfb5aSHaoyuan Feng      val gpaddr = UInt(XLEN.W)
132ad415ae0SXiaokun-Pei      val isForVSnonLeafPTE = Bool()
13341d8d239Shappy-lx    })
13441d8d239Shappy-lx    val flushLdExpBuff  = Output(Bool())
135b240e1c0SAnzooooo    val loadMisalignFull = Output(Bool())
13641d8d239Shappy-lx  })
13741d8d239Shappy-lx
13841d8d239Shappy-lx  io.rob.mmio := 0.U.asTypeOf(Vec(LoadPipelineWidth, Bool()))
13941d8d239Shappy-lx  io.rob.uop  := 0.U.asTypeOf(Vec(LoadPipelineWidth, new DynInst))
14041d8d239Shappy-lx
14141d8d239Shappy-lx  val req_valid = RegInit(false.B)
14241d8d239Shappy-lx  val req = Reg(new LqWriteBundle)
14341d8d239Shappy-lx
144b240e1c0SAnzooooo  io.loadMisalignFull := req_valid
14541d8d239Shappy-lx
146*4ec1f462Scz4e  (0 until io.enq.length).map{i =>
147b240e1c0SAnzooooo    if (i == 0) {
148*4ec1f462Scz4e      io.enq(0).req.ready := !req_valid && io.enq(0).req.valid
149b240e1c0SAnzooooo    }
150b240e1c0SAnzooooo    else {
151*4ec1f462Scz4e      io.enq(i).req.ready := !io.enq.take(i).map(_.req.ready).reduce(_ || _) && !req_valid && io.enq(i).req.valid
152b240e1c0SAnzooooo    }
15341d8d239Shappy-lx  }
15441d8d239Shappy-lx
155*4ec1f462Scz4e  val select_req_bit   = ParallelPriorityMux(io.enq.map(_.req.valid), io.enq.map(_.req.bits))
156*4ec1f462Scz4e  val select_req_valid = io.enq.map(_.req.valid).reduce(_ || _)
157b240e1c0SAnzooooo  val canEnqValid = !req_valid && !select_req_bit.uop.robIdx.needFlush(io.redirect) && select_req_valid
158b240e1c0SAnzooooo  when(canEnqValid) {
159b240e1c0SAnzooooo    req := select_req_bit
160b240e1c0SAnzooooo    req_valid := true.B
16141d8d239Shappy-lx  }
16241d8d239Shappy-lx
16341d8d239Shappy-lx  // buffer control:
164b240e1c0SAnzooooo  //  - s_idle:   idle
165b240e1c0SAnzooooo  //  - s_split:  split misalign laod
166b240e1c0SAnzooooo  //  - s_req:    issue a split memory access request
167b240e1c0SAnzooooo  //  - s_resp:   Responds to a split load access request
168b240e1c0SAnzooooo  //  - s_comb_wakeup_rep: Merge the data and issue a wakeup load
169b240e1c0SAnzooooo  //  - s_wb: writeback yo rob/vecMergeBuffer
170b240e1c0SAnzooooo  val s_idle :: s_split :: s_req :: s_resp :: s_comb_wakeup_rep :: s_wb :: Nil = Enum(6)
17141d8d239Shappy-lx  val bufferState = RegInit(s_idle)
17241d8d239Shappy-lx  val splitLoadReqs = RegInit(VecInit(List.fill(maxSplitNum)(0.U.asTypeOf(new LsPipelineBundle))))
17341d8d239Shappy-lx  val splitLoadResp = RegInit(VecInit(List.fill(maxSplitNum)(0.U.asTypeOf(new LqWriteBundle))))
174282dd18cSsfencevma  val exceptionVec = RegInit(0.U.asTypeOf(ExceptionVec()))
17541d8d239Shappy-lx  val unSentLoads = RegInit(0.U(maxSplitNum.W))
17641d8d239Shappy-lx  val curPtr = RegInit(0.U(log2Ceil(maxSplitNum).W))
177b240e1c0SAnzooooo  val needWakeUpReqsWire = Wire(Bool())
178b240e1c0SAnzooooo  val needWakeUpWB       = RegInit(false.B)
179b240e1c0SAnzooooo  val data_select        = RegEnable(genRdataOH(select_req_bit.uop), 0.U(genRdataOH(select_req_bit.uop).getWidth.W), canEnqValid)
18041d8d239Shappy-lx
18141d8d239Shappy-lx  // if there is exception or mmio in split load
18241d8d239Shappy-lx  val globalException = RegInit(false.B)
18341d8d239Shappy-lx  val globalMMIO = RegInit(false.B)
18441d8d239Shappy-lx
185da51a7acSAnzo  val hasException = io.splitLoadResp.bits.vecActive &&
186da51a7acSAnzo    ExceptionNO.selectByFu(io.splitLoadResp.bits.uop.exceptionVec, LduCfg).asUInt.orR || TriggerAction.isDmode(io.splitLoadResp.bits.uop.trigger)
18741d8d239Shappy-lx  val isMMIO = io.splitLoadResp.bits.mmio
188b240e1c0SAnzooooo  needWakeUpReqsWire := false.B
18941d8d239Shappy-lx  switch(bufferState) {
19041d8d239Shappy-lx    is (s_idle) {
191b240e1c0SAnzooooo      when (req_valid) {
19241d8d239Shappy-lx        bufferState := s_split
19341d8d239Shappy-lx      }
19441d8d239Shappy-lx    }
19541d8d239Shappy-lx
19641d8d239Shappy-lx    is (s_split) {
19741d8d239Shappy-lx      bufferState := s_req
19841d8d239Shappy-lx    }
19941d8d239Shappy-lx
20041d8d239Shappy-lx    is (s_req) {
20141d8d239Shappy-lx      when (io.splitLoadReq.fire) {
20241d8d239Shappy-lx        bufferState := s_resp
20341d8d239Shappy-lx      }
20441d8d239Shappy-lx    }
20541d8d239Shappy-lx
20641d8d239Shappy-lx    is (s_resp) {
20741d8d239Shappy-lx      when (io.splitLoadResp.valid) {
20841d8d239Shappy-lx        val clearOh = UIntToOH(curPtr)
20941d8d239Shappy-lx        when (hasException || isMMIO) {
21041d8d239Shappy-lx          // commit directly when exception ocurs
21141d8d239Shappy-lx          // if any split load reaches mmio space, delegate to software loadAddrMisaligned exception
21241d8d239Shappy-lx          bufferState := s_wb
21341d8d239Shappy-lx          globalException := hasException
21441d8d239Shappy-lx          globalMMIO := isMMIO
21541d8d239Shappy-lx        } .elsewhen(io.splitLoadResp.bits.rep_info.need_rep || (unSentLoads & ~clearOh).orR) {
21641d8d239Shappy-lx          // need replay or still has unsent requests
21741d8d239Shappy-lx          bufferState := s_req
21841d8d239Shappy-lx        } .otherwise {
21941d8d239Shappy-lx          // merge the split load results
220b240e1c0SAnzooooo          bufferState := s_comb_wakeup_rep
221b240e1c0SAnzooooo          needWakeUpWB := !req.isvec
22241d8d239Shappy-lx        }
22341d8d239Shappy-lx      }
22441d8d239Shappy-lx    }
22541d8d239Shappy-lx
226b240e1c0SAnzooooo    is (s_comb_wakeup_rep) {
227b240e1c0SAnzooooo      when(!req.isvec) {
228b240e1c0SAnzooooo        when(io.splitLoadReq.fire) {
229b240e1c0SAnzooooo          bufferState := s_wb
230b240e1c0SAnzooooo        }.otherwise {
231b240e1c0SAnzooooo          bufferState := s_comb_wakeup_rep
232b240e1c0SAnzooooo        }
233b240e1c0SAnzooooo        needWakeUpReqsWire := true.B
234b240e1c0SAnzooooo      } .otherwise {
23541d8d239Shappy-lx        bufferState := s_wb
23641d8d239Shappy-lx      }
23741d8d239Shappy-lx
23841d8d239Shappy-lx    }
23941d8d239Shappy-lx
240b240e1c0SAnzooooo    is (s_wb) {
241b240e1c0SAnzooooo      when(req.isvec) {
242b240e1c0SAnzooooo        when(io.vecWriteBack.fire) {
24341d8d239Shappy-lx          bufferState := s_idle
24441d8d239Shappy-lx          req_valid := false.B
24541d8d239Shappy-lx          curPtr := 0.U
24641d8d239Shappy-lx          unSentLoads := 0.U
24741d8d239Shappy-lx          globalException := false.B
24841d8d239Shappy-lx          globalMMIO := false.B
249b240e1c0SAnzooooo          needWakeUpWB := false.B
25041d8d239Shappy-lx        }
251b240e1c0SAnzooooo
252b240e1c0SAnzooooo      } .otherwise {
253b240e1c0SAnzooooo        when(io.writeBack.fire) {
254b240e1c0SAnzooooo          bufferState := s_idle
255b240e1c0SAnzooooo          req_valid := false.B
256b240e1c0SAnzooooo          curPtr := 0.U
257b240e1c0SAnzooooo          unSentLoads := 0.U
258b240e1c0SAnzooooo          globalException := false.B
259b240e1c0SAnzooooo          globalMMIO := false.B
260b240e1c0SAnzooooo          needWakeUpWB := false.B
26141d8d239Shappy-lx        }
26241d8d239Shappy-lx      }
26341d8d239Shappy-lx
264b240e1c0SAnzooooo    }
265b240e1c0SAnzooooo  }
266b240e1c0SAnzooooo
267b240e1c0SAnzooooo  val alignedType = Mux(req.isvec, req.alignedType(1,0), req.uop.fuOpType(1, 0))
268b240e1c0SAnzooooo  val highAddress = LookupTree(alignedType, List(
26941d8d239Shappy-lx    LB -> 0.U,
27041d8d239Shappy-lx    LH -> 1.U,
27141d8d239Shappy-lx    LW -> 3.U,
27241d8d239Shappy-lx    LD -> 7.U
27341d8d239Shappy-lx  )) + req.vaddr(4, 0)
27441d8d239Shappy-lx  // to see if (vaddr + opSize - 1) and vaddr are in the same 16 bytes region
27541d8d239Shappy-lx  val cross16BytesBoundary = req_valid && (highAddress(4) =/= req.vaddr(4))
27641d8d239Shappy-lx  val aligned16BytesAddr   = (req.vaddr >> 4) << 4// req.vaddr & ~("b1111".U)
27741d8d239Shappy-lx  val aligned16BytesSel    = req.vaddr(3, 0)
27841d8d239Shappy-lx
27941d8d239Shappy-lx  // meta of 128 bit load
28041d8d239Shappy-lx  val new128Load = WireInit(0.U.asTypeOf(new LsPipelineBundle))
28141d8d239Shappy-lx  // meta of split loads
28241d8d239Shappy-lx  val lowAddrLoad  = WireInit(0.U.asTypeOf(new LsPipelineBundle))
28341d8d239Shappy-lx  val highAddrLoad = WireInit(0.U.asTypeOf(new LsPipelineBundle))
28441d8d239Shappy-lx  val lowResultShift = RegInit(0.U(3.W)) // how many bytes should we shift right when got result
28541d8d239Shappy-lx  val lowResultWidth = RegInit(0.U(3.W)) // how many bytes should we take from result
28641d8d239Shappy-lx  val highResultShift = RegInit(0.U(3.W))
28741d8d239Shappy-lx  val highResultWidth = RegInit(0.U(3.W))
28841d8d239Shappy-lx
28941d8d239Shappy-lx  when (bufferState === s_split) {
29041d8d239Shappy-lx    when (!cross16BytesBoundary) {
291b240e1c0SAnzooooo      assert(false.B, s"There should be no non-aligned access that does not cross 16Byte boundaries.")
29241d8d239Shappy-lx    } .otherwise {
29341d8d239Shappy-lx      // split this unaligned load into `maxSplitNum` aligned loads
29441d8d239Shappy-lx      unSentLoads := Fill(maxSplitNum, 1.U(1.W))
29541d8d239Shappy-lx      curPtr := 0.U
29641d8d239Shappy-lx      lowAddrLoad.uop := req.uop
29741d8d239Shappy-lx      lowAddrLoad.uop.exceptionVec(loadAddrMisaligned) := false.B
2989abad712SHaoyuan Feng      lowAddrLoad.fullva := req.fullva
29941d8d239Shappy-lx      highAddrLoad.uop := req.uop
30041d8d239Shappy-lx      highAddrLoad.uop.exceptionVec(loadAddrMisaligned) := false.B
3019abad712SHaoyuan Feng      highAddrLoad.fullva := req.fullva
30241d8d239Shappy-lx
303b240e1c0SAnzooooo      switch (alignedType(1, 0)) {
30441d8d239Shappy-lx        is (LB) {
30541d8d239Shappy-lx          assert(false.B, "lb should not trigger miss align")
30641d8d239Shappy-lx        }
30741d8d239Shappy-lx
30841d8d239Shappy-lx        is (LH) {
30941d8d239Shappy-lx          lowAddrLoad.uop.fuOpType := LB
31041d8d239Shappy-lx          lowAddrLoad.vaddr := req.vaddr
31141d8d239Shappy-lx          lowAddrLoad.mask  := 0x1.U << lowAddrLoad.vaddr(3, 0)
31241d8d239Shappy-lx          lowResultShift    := BYTE0
31341d8d239Shappy-lx          lowResultWidth    := BYTE1
31441d8d239Shappy-lx
31541d8d239Shappy-lx          highAddrLoad.uop.fuOpType := LB
31641d8d239Shappy-lx          highAddrLoad.vaddr := req.vaddr + 1.U
31741d8d239Shappy-lx          highAddrLoad.mask  := 0x1.U << highAddrLoad.vaddr(3, 0)
31841d8d239Shappy-lx          highResultShift    := BYTE0
31941d8d239Shappy-lx          highResultWidth    := BYTE1
32041d8d239Shappy-lx        }
32141d8d239Shappy-lx
32241d8d239Shappy-lx        is (LW) {
32341d8d239Shappy-lx          switch (req.vaddr(1, 0)) {
32441d8d239Shappy-lx            is ("b00".U) {
32541d8d239Shappy-lx              assert(false.B, "should not trigger miss align")
32641d8d239Shappy-lx            }
32741d8d239Shappy-lx
32841d8d239Shappy-lx            is ("b01".U) {
32941d8d239Shappy-lx              lowAddrLoad.uop.fuOpType := LW
33041d8d239Shappy-lx              lowAddrLoad.vaddr := req.vaddr - 1.U
33141d8d239Shappy-lx              lowAddrLoad.mask  := 0xf.U << lowAddrLoad.vaddr(3, 0)
33241d8d239Shappy-lx              lowResultShift    := BYTE1
33341d8d239Shappy-lx              lowResultWidth    := BYTE3
33441d8d239Shappy-lx
33541d8d239Shappy-lx              highAddrLoad.uop.fuOpType := LB
33641d8d239Shappy-lx              highAddrLoad.vaddr := req.vaddr + 3.U
33741d8d239Shappy-lx              highAddrLoad.mask  := 0x1.U << highAddrLoad.vaddr(3, 0)
33841d8d239Shappy-lx              highResultShift    := BYTE0
33941d8d239Shappy-lx              highResultWidth    := BYTE1
34041d8d239Shappy-lx            }
34141d8d239Shappy-lx
34241d8d239Shappy-lx            is ("b10".U) {
34341d8d239Shappy-lx              lowAddrLoad.uop.fuOpType := LH
34441d8d239Shappy-lx              lowAddrLoad.vaddr := req.vaddr
34541d8d239Shappy-lx              lowAddrLoad.mask  := 0x3.U << lowAddrLoad.vaddr(3, 0)
34641d8d239Shappy-lx              lowResultShift    := BYTE0
34741d8d239Shappy-lx              lowResultWidth    := BYTE2
34841d8d239Shappy-lx
34941d8d239Shappy-lx              highAddrLoad.uop.fuOpType := LH
35041d8d239Shappy-lx              highAddrLoad.vaddr := req.vaddr + 2.U
35141d8d239Shappy-lx              highAddrLoad.mask  := 0x3.U << highAddrLoad.vaddr(3, 0)
35241d8d239Shappy-lx              highResultShift    := BYTE0
35341d8d239Shappy-lx              highResultWidth    := BYTE2
35441d8d239Shappy-lx            }
35541d8d239Shappy-lx
35641d8d239Shappy-lx            is ("b11".U) {
35741d8d239Shappy-lx              lowAddrLoad.uop.fuOpType := LB
35841d8d239Shappy-lx              lowAddrLoad.vaddr := req.vaddr
35941d8d239Shappy-lx              lowAddrLoad.mask  := 0x1.U << lowAddrLoad.vaddr(3, 0)
36041d8d239Shappy-lx              lowResultShift    := BYTE0
36141d8d239Shappy-lx              lowResultWidth    := BYTE1
36241d8d239Shappy-lx
36341d8d239Shappy-lx              highAddrLoad.uop.fuOpType := LW
36441d8d239Shappy-lx              highAddrLoad.vaddr := req.vaddr + 1.U
36541d8d239Shappy-lx              highAddrLoad.mask  := 0xf.U << highAddrLoad.vaddr(3, 0)
36641d8d239Shappy-lx              highResultShift    := BYTE0
36741d8d239Shappy-lx              highResultWidth    := BYTE3
36841d8d239Shappy-lx            }
36941d8d239Shappy-lx          }
37041d8d239Shappy-lx        }
37141d8d239Shappy-lx
37241d8d239Shappy-lx        is (LD) {
37341d8d239Shappy-lx          switch (req.vaddr(2, 0)) {
37441d8d239Shappy-lx            is ("b000".U) {
37541d8d239Shappy-lx              assert(false.B, "should not trigger miss align")
37641d8d239Shappy-lx            }
37741d8d239Shappy-lx
37841d8d239Shappy-lx            is ("b001".U) {
37941d8d239Shappy-lx              lowAddrLoad.uop.fuOpType := LD
38041d8d239Shappy-lx              lowAddrLoad.vaddr := req.vaddr - 1.U
38141d8d239Shappy-lx              lowAddrLoad.mask  := 0xff.U << lowAddrLoad.vaddr(3, 0)
38241d8d239Shappy-lx              lowResultShift    := BYTE1
38341d8d239Shappy-lx              lowResultWidth    := BYTE7
38441d8d239Shappy-lx
38541d8d239Shappy-lx              highAddrLoad.uop.fuOpType := LB
38641d8d239Shappy-lx              highAddrLoad.vaddr := req.vaddr + 7.U
38741d8d239Shappy-lx              highAddrLoad.mask  := 0x1.U << highAddrLoad.vaddr(3, 0)
38841d8d239Shappy-lx              highResultShift    := BYTE0
38941d8d239Shappy-lx              highResultWidth    := BYTE1
39041d8d239Shappy-lx            }
39141d8d239Shappy-lx
39241d8d239Shappy-lx            is ("b010".U) {
39341d8d239Shappy-lx              lowAddrLoad.uop.fuOpType := LD
39441d8d239Shappy-lx              lowAddrLoad.vaddr := req.vaddr - 2.U
39541d8d239Shappy-lx              lowAddrLoad.mask  := 0xff.U << lowAddrLoad.vaddr(3, 0)
39641d8d239Shappy-lx              lowResultShift    := BYTE2
39741d8d239Shappy-lx              lowResultWidth    := BYTE6
39841d8d239Shappy-lx
39941d8d239Shappy-lx              highAddrLoad.uop.fuOpType := LH
40041d8d239Shappy-lx              highAddrLoad.vaddr := req.vaddr + 6.U
40141d8d239Shappy-lx              highAddrLoad.mask  := 0x3.U << highAddrLoad.vaddr(3, 0)
40241d8d239Shappy-lx              highResultShift    := BYTE0
40341d8d239Shappy-lx              highResultWidth    := BYTE2
40441d8d239Shappy-lx            }
40541d8d239Shappy-lx
40641d8d239Shappy-lx            is ("b011".U) {
40741d8d239Shappy-lx              lowAddrLoad.uop.fuOpType := LD
40841d8d239Shappy-lx              lowAddrLoad.vaddr := req.vaddr - 3.U
40941d8d239Shappy-lx              lowAddrLoad.mask  := 0xff.U << lowAddrLoad.vaddr(3, 0)
41041d8d239Shappy-lx              lowResultShift    := BYTE3
41141d8d239Shappy-lx              lowResultWidth    := BYTE5
41241d8d239Shappy-lx
41341d8d239Shappy-lx              highAddrLoad.uop.fuOpType := LW
41441d8d239Shappy-lx              highAddrLoad.vaddr := req.vaddr + 5.U
41541d8d239Shappy-lx              highAddrLoad.mask  := 0xf.U << highAddrLoad.vaddr(3, 0)
41641d8d239Shappy-lx              highResultShift    := BYTE0
41741d8d239Shappy-lx              highResultWidth    := BYTE3
41841d8d239Shappy-lx            }
41941d8d239Shappy-lx
42041d8d239Shappy-lx            is ("b100".U) {
42141d8d239Shappy-lx              lowAddrLoad.uop.fuOpType := LW
42241d8d239Shappy-lx              lowAddrLoad.vaddr := req.vaddr
42341d8d239Shappy-lx              lowAddrLoad.mask  := 0xf.U << lowAddrLoad.vaddr(3, 0)
42441d8d239Shappy-lx              lowResultShift    := BYTE0
42541d8d239Shappy-lx              lowResultWidth    := BYTE4
42641d8d239Shappy-lx
42741d8d239Shappy-lx              highAddrLoad.uop.fuOpType := LW
42841d8d239Shappy-lx              highAddrLoad.vaddr := req.vaddr + 4.U
42941d8d239Shappy-lx              highAddrLoad.mask  := 0xf.U << highAddrLoad.vaddr(3, 0)
43041d8d239Shappy-lx              highResultShift    := BYTE0
43141d8d239Shappy-lx              highResultWidth    := BYTE4
43241d8d239Shappy-lx            }
43341d8d239Shappy-lx
43441d8d239Shappy-lx            is ("b101".U) {
43541d8d239Shappy-lx              lowAddrLoad.uop.fuOpType := LW
43641d8d239Shappy-lx              lowAddrLoad.vaddr := req.vaddr - 1.U
43741d8d239Shappy-lx              lowAddrLoad.mask  := 0xf.U << lowAddrLoad.vaddr(3, 0)
43841d8d239Shappy-lx              lowResultShift    := BYTE1
43941d8d239Shappy-lx              lowResultWidth    := BYTE3
44041d8d239Shappy-lx
44141d8d239Shappy-lx              highAddrLoad.uop.fuOpType := LD
44241d8d239Shappy-lx              highAddrLoad.vaddr := req.vaddr + 3.U
44341d8d239Shappy-lx              highAddrLoad.mask  := 0xff.U << highAddrLoad.vaddr(3, 0)
44441d8d239Shappy-lx              highResultShift    := BYTE0
44541d8d239Shappy-lx              highResultWidth    := BYTE5
44641d8d239Shappy-lx            }
44741d8d239Shappy-lx
44841d8d239Shappy-lx            is ("b110".U) {
44941d8d239Shappy-lx              lowAddrLoad.uop.fuOpType := LH
45041d8d239Shappy-lx              lowAddrLoad.vaddr := req.vaddr
45141d8d239Shappy-lx              lowAddrLoad.mask  := 0x3.U << lowAddrLoad.vaddr(3, 0)
45241d8d239Shappy-lx              lowResultShift    := BYTE0
45341d8d239Shappy-lx              lowResultWidth    := BYTE2
45441d8d239Shappy-lx
45541d8d239Shappy-lx              highAddrLoad.uop.fuOpType := LD
45641d8d239Shappy-lx              highAddrLoad.vaddr := req.vaddr + 2.U
45741d8d239Shappy-lx              highAddrLoad.mask  := 0xff.U << highAddrLoad.vaddr(3, 0)
45841d8d239Shappy-lx              highResultShift    := BYTE0
45941d8d239Shappy-lx              highResultWidth    := BYTE6
46041d8d239Shappy-lx            }
46141d8d239Shappy-lx
46241d8d239Shappy-lx            is ("b111".U) {
46341d8d239Shappy-lx              lowAddrLoad.uop.fuOpType := LB
46441d8d239Shappy-lx              lowAddrLoad.vaddr := req.vaddr
46541d8d239Shappy-lx              lowAddrLoad.mask  := 0x1.U << lowAddrLoad.vaddr(3, 0)
46641d8d239Shappy-lx              lowResultShift    := BYTE0
46741d8d239Shappy-lx              lowResultWidth    := BYTE1
46841d8d239Shappy-lx
46941d8d239Shappy-lx              highAddrLoad.uop.fuOpType := LD
47041d8d239Shappy-lx              highAddrLoad.vaddr := req.vaddr + 1.U
47141d8d239Shappy-lx              highAddrLoad.mask  := 0xff.U << highAddrLoad.vaddr(3, 0)
47241d8d239Shappy-lx              highResultShift    := BYTE0
47341d8d239Shappy-lx              highResultWidth    := BYTE7
47441d8d239Shappy-lx            }
47541d8d239Shappy-lx          }
47641d8d239Shappy-lx        }
47741d8d239Shappy-lx      }
47841d8d239Shappy-lx
47941d8d239Shappy-lx      splitLoadReqs(0) := lowAddrLoad
48041d8d239Shappy-lx      splitLoadReqs(1) := highAddrLoad
48141d8d239Shappy-lx    }
482282dd18cSsfencevma    exceptionVec := 0.U.asTypeOf(exceptionVec.cloneType)
48341d8d239Shappy-lx  }
48441d8d239Shappy-lx
485b240e1c0SAnzooooo  io.splitLoadReq.valid := req_valid && (bufferState === s_req || bufferState === s_comb_wakeup_rep && needWakeUpReqsWire && !req.isvec)
48641d8d239Shappy-lx  io.splitLoadReq.bits  := splitLoadReqs(curPtr)
487b240e1c0SAnzooooo  io.splitLoadReq.bits.isvec  := req.isvec
488b240e1c0SAnzooooo  io.splitLoadReq.bits.misalignNeedWakeUp  := needWakeUpReqsWire
489b240e1c0SAnzooooo  io.splitLoadReq.bits.isFinalSplit        := curPtr(0) && !needWakeUpReqsWire
4904c5e04f2Shappy-lx  // Restore the information of H extension load
4914c5e04f2Shappy-lx  // bit encoding: | hlv 1 | hlvx 1 | is unsigned(1bit) | size(2bit) |
4924c5e04f2Shappy-lx  val reqIsHlv  = LSUOpType.isHlv(req.uop.fuOpType)
4934c5e04f2Shappy-lx  val reqIsHlvx = LSUOpType.isHlvx(req.uop.fuOpType)
494b240e1c0SAnzooooo  io.splitLoadReq.bits.uop.fuOpType := Mux(req.isvec, req.uop.fuOpType, Cat(reqIsHlv, reqIsHlvx, 0.U(1.W), splitLoadReqs(curPtr).uop.fuOpType(1, 0)))
495b240e1c0SAnzooooo  io.splitLoadReq.bits.alignedType  := Mux(req.isvec, splitLoadReqs(curPtr).uop.fuOpType(1, 0), req.alignedType)
49641d8d239Shappy-lx
49741d8d239Shappy-lx  when (io.splitLoadResp.valid) {
498282dd18cSsfencevma    val resp = io.splitLoadResp.bits
49941d8d239Shappy-lx    splitLoadResp(curPtr) := io.splitLoadResp.bits
50041d8d239Shappy-lx    when (isMMIO) {
50141d8d239Shappy-lx      unSentLoads := 0.U
502e7ab4635SHuijin Li      exceptionVec := ExceptionNO.selectByFu(0.U.asTypeOf(exceptionVec.cloneType), LduCfg)
50341d8d239Shappy-lx      // delegate to software
504282dd18cSsfencevma      exceptionVec(loadAddrMisaligned) := true.B
50541d8d239Shappy-lx    } .elsewhen (hasException) {
50641d8d239Shappy-lx      unSentLoads := 0.U
507282dd18cSsfencevma      LduCfg.exceptionOut.map(no => exceptionVec(no) := exceptionVec(no) || resp.uop.exceptionVec(no))
50841d8d239Shappy-lx    } .elsewhen (!io.splitLoadResp.bits.rep_info.need_rep) {
50941d8d239Shappy-lx      unSentLoads := unSentLoads & ~UIntToOH(curPtr)
51041d8d239Shappy-lx      curPtr := curPtr + 1.U
511282dd18cSsfencevma      exceptionVec := 0.U.asTypeOf(ExceptionVec())
51241d8d239Shappy-lx    }
51341d8d239Shappy-lx  }
51441d8d239Shappy-lx
51541d8d239Shappy-lx  val combinedData = RegInit(0.U(XLEN.W))
51641d8d239Shappy-lx
517b240e1c0SAnzooooo  when (bufferState === s_comb_wakeup_rep) {
51841d8d239Shappy-lx    val lowAddrResult = getShiftAndTruncateData(lowResultShift, lowResultWidth, splitLoadResp(0).data)
51941d8d239Shappy-lx                          .asTypeOf(Vec(XLEN / 8, UInt(8.W)))
52041d8d239Shappy-lx    val highAddrResult = getShiftAndTruncateData(highResultShift, highResultWidth, splitLoadResp(1).data)
52141d8d239Shappy-lx                          .asTypeOf(Vec(XLEN / 8, UInt(8.W)))
52241d8d239Shappy-lx    val catResult = Wire(Vec(XLEN / 8, UInt(8.W)))
52341d8d239Shappy-lx    (0 until XLEN / 8) .map {
52441d8d239Shappy-lx      case i => {
52541d8d239Shappy-lx        when (i.U < lowResultWidth) {
52641d8d239Shappy-lx          catResult(i) := lowAddrResult(i)
52741d8d239Shappy-lx        } .otherwise {
52841d8d239Shappy-lx          catResult(i) := highAddrResult(i.U - lowResultWidth)
52941d8d239Shappy-lx        }
53041d8d239Shappy-lx      }
53141d8d239Shappy-lx    }
532b240e1c0SAnzooooo    combinedData := Mux(req.isvec, rdataVecHelper(req.alignedType, (catResult.asUInt)(XLEN - 1, 0)), rdataHelper(req.uop, (catResult.asUInt)(XLEN - 1, 0)))
533b240e1c0SAnzooooo
53441d8d239Shappy-lx  }
53541d8d239Shappy-lx
536b240e1c0SAnzooooo  io.writeBack.valid := req_valid && (bufferState === s_wb) && (io.splitLoadResp.valid && io.splitLoadResp.bits.misalignNeedWakeUp || globalMMIO || globalException) && !io.loadOutValid && !req.isvec
53741d8d239Shappy-lx  io.writeBack.bits.uop := req.uop
538282dd18cSsfencevma  io.writeBack.bits.uop.exceptionVec := DontCare
539282dd18cSsfencevma  LduCfg.exceptionOut.map(no => io.writeBack.bits.uop.exceptionVec(no) := (globalMMIO || globalException) && exceptionVec(no))
5401b5499a2SAnzooooo  io.writeBack.bits.uop.rfWen := !globalException && !globalMMIO && req.uop.rfWen
541e7ab4635SHuijin Li  io.writeBack.bits.uop.fuType := FuType.ldu.U
542b240e1c0SAnzooooo  io.writeBack.bits.uop.flushPipe := false.B
54341d8d239Shappy-lx  io.writeBack.bits.uop.replayInst := false.B
544b240e1c0SAnzooooo  io.writeBack.bits.data := newRdataHelper(data_select, combinedData)
545b240e1c0SAnzooooo  io.writeBack.bits.isFromLoadUnit := needWakeUpWB
54641d8d239Shappy-lx  io.writeBack.bits.debug.isMMIO := globalMMIO
547bb76fc1bSYanqin Li  // FIXME lyq: temporarily set to false
548bb76fc1bSYanqin Li  io.writeBack.bits.debug.isNC := false.B
54941d8d239Shappy-lx  io.writeBack.bits.debug.isPerfCnt := false.B
55041d8d239Shappy-lx  io.writeBack.bits.debug.paddr := req.paddr
55141d8d239Shappy-lx  io.writeBack.bits.debug.vaddr := req.vaddr
55241d8d239Shappy-lx
553b240e1c0SAnzooooo
554b240e1c0SAnzooooo  // vector output
555b240e1c0SAnzooooo  io.vecWriteBack.valid := req_valid && (bufferState === s_wb) && !io.loadVecOutValid && req.isvec
556b240e1c0SAnzooooo
557b240e1c0SAnzooooo  io.vecWriteBack.bits.alignedType          := req.alignedType
558b240e1c0SAnzooooo  io.vecWriteBack.bits.vecFeedback          := true.B
559b240e1c0SAnzooooo  io.vecWriteBack.bits.vecdata.get          := combinedData
560b240e1c0SAnzooooo  io.vecWriteBack.bits.isvec                := req.isvec
561b240e1c0SAnzooooo  io.vecWriteBack.bits.elemIdx              := req.elemIdx
562b240e1c0SAnzooooo  io.vecWriteBack.bits.elemIdxInsideVd.get  := req.elemIdxInsideVd
563b240e1c0SAnzooooo  io.vecWriteBack.bits.mask                 := req.mask
564b240e1c0SAnzooooo  io.vecWriteBack.bits.reg_offset.get       := 0.U
565b240e1c0SAnzooooo  io.vecWriteBack.bits.usSecondInv          := req.usSecondInv
566b240e1c0SAnzooooo  io.vecWriteBack.bits.mBIndex              := req.mbIndex
567b240e1c0SAnzooooo  io.vecWriteBack.bits.hit                  := true.B
568b240e1c0SAnzooooo  io.vecWriteBack.bits.sourceType           := RSFeedbackType.lrqFull
569b240e1c0SAnzooooo  io.vecWriteBack.bits.trigger              := TriggerAction.None
570b240e1c0SAnzooooo  io.vecWriteBack.bits.flushState           := DontCare
571b240e1c0SAnzooooo  io.vecWriteBack.bits.exceptionVec         := ExceptionNO.selectByFu(exceptionVec, VlduCfg)
572da51a7acSAnzo  io.vecWriteBack.bits.hasException         := globalException
573b240e1c0SAnzooooo  io.vecWriteBack.bits.vaddr                := req.fullva
574b240e1c0SAnzooooo  io.vecWriteBack.bits.vaNeedExt            := req.vaNeedExt
575b240e1c0SAnzooooo  io.vecWriteBack.bits.gpaddr               := req.gpaddr
576b240e1c0SAnzooooo  io.vecWriteBack.bits.isForVSnonLeafPTE    := req.isForVSnonLeafPTE
577b240e1c0SAnzooooo  io.vecWriteBack.bits.mmio                 := DontCare
578b240e1c0SAnzooooo  io.vecWriteBack.bits.vstart               := req.uop.vpu.vstart
579b240e1c0SAnzooooo  io.vecWriteBack.bits.vecTriggerMask       := req.vecTriggerMask
580b240e1c0SAnzooooo  io.vecWriteBack.bits.nc                   := false.B
581b240e1c0SAnzooooo
582b240e1c0SAnzooooo
58341d8d239Shappy-lx  val flush = req_valid && req.uop.robIdx.needFlush(io.redirect)
58441d8d239Shappy-lx
585b240e1c0SAnzooooo  when (flush) {
58641d8d239Shappy-lx    bufferState := s_idle
58741d8d239Shappy-lx    req_valid := false.B
58841d8d239Shappy-lx    curPtr := 0.U
58941d8d239Shappy-lx    unSentLoads := 0.U
59041d8d239Shappy-lx    globalException := false.B
59141d8d239Shappy-lx    globalMMIO := false.B
59241d8d239Shappy-lx  }
59341d8d239Shappy-lx
59441d8d239Shappy-lx  // NOTE: spectial case (unaligned load cross page, page fault happens in next page)
59541d8d239Shappy-lx  // if exception happens in the higher page address part, overwrite the loadExceptionBuffer vaddr
5966444fe09Sgood-circle  val shouldOverwrite = req_valid && globalException
5976444fe09Sgood-circle  val overwriteExpBuf = GatedValidRegNext(shouldOverwrite)
5986444fe09Sgood-circle  val overwriteVaddr = RegEnable(
5996444fe09Sgood-circle    Mux(
6009abad712SHaoyuan Feng      cross16BytesBoundary && (curPtr === 1.U),
6019abad712SHaoyuan Feng      splitLoadResp(curPtr).vaddr,
6026444fe09Sgood-circle      splitLoadResp(curPtr).fullva),
6036444fe09Sgood-circle    shouldOverwrite)
604e80f666eSHaoyuan Feng  val overwriteGpaddr = RegEnable(splitLoadResp(curPtr).gpaddr, shouldOverwrite)
6056444fe09Sgood-circle  val overwriteIsHyper = RegEnable(splitLoadResp(curPtr).isHyper, shouldOverwrite)
6066444fe09Sgood-circle  val overwriteIsForVSnonLeafPTE = RegEnable(splitLoadResp(curPtr).isForVSnonLeafPTE, shouldOverwrite)
60741d8d239Shappy-lx
608b240e1c0SAnzooooo  //TODO In theory, there is no need to overwrite, but for now, the signal is retained in the code in this way.
609b240e1c0SAnzooooo  // and the signal will be removed after sufficient verification.
610b240e1c0SAnzooooo  io.overwriteExpBuf.valid := false.B
611a53daa0fSHaoyuan Feng  io.overwriteExpBuf.vaddr := overwriteVaddr
61246e9ee74SHaoyuan Feng  io.overwriteExpBuf.isHyper := overwriteIsHyper
613a53daa0fSHaoyuan Feng  io.overwriteExpBuf.gpaddr := overwriteGpaddr
614ad415ae0SXiaokun-Pei  io.overwriteExpBuf.isForVSnonLeafPTE := overwriteIsForVSnonLeafPTE
61541d8d239Shappy-lx
61641d8d239Shappy-lx  // when no exception or mmio, flush loadExceptionBuffer at s_wb
61741d8d239Shappy-lx  val flushLdExpBuff = GatedValidRegNext(req_valid && (bufferState === s_wb) && !(globalMMIO || globalException))
61841d8d239Shappy-lx  io.flushLdExpBuff := flushLdExpBuff
61941d8d239Shappy-lx
62041d8d239Shappy-lx  XSPerfAccumulate("alloc",                  RegNext(!req_valid) && req_valid)
62141d8d239Shappy-lx  XSPerfAccumulate("flush",                  flush)
62241d8d239Shappy-lx  XSPerfAccumulate("flush_idle",             flush && (bufferState === s_idle))
62341d8d239Shappy-lx  XSPerfAccumulate("flush_non_idle",         flush && (bufferState =/= s_idle))
62441d8d239Shappy-lx}
625