1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17c7658a75SYinan Xupackage xiangshan.mem 18c7658a75SYinan Xu 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 20c7658a75SYinan Xuimport chisel3._ 21c7658a75SYinan Xuimport chisel3.util._ 223b739f49SXuan Huimport utils._ 233c02ee8fSwakafaimport utility._ 24c7658a75SYinan Xuimport xiangshan._ 25870f462dSXuan Huimport xiangshan.backend.Bundles.{DynInst, MemExuOutput} 263b739f49SXuan Huimport xiangshan.cache._ 276d5ddbceSLemoverimport xiangshan.cache.{DCacheWordIO, DCacheLineIO, MemoryOpConstants} 28185e6164SHaoyuan Fengimport xiangshan.cache.mmu.{TlbRequestIO, TlbHintIO} 293b739f49SXuan Huimport xiangshan.mem._ 3093eb4d85Ssfencevmaimport xiangshan.backend._ 319aca92b9SYinan Xuimport xiangshan.backend.rob.RobLsqIO 32c7658a75SYinan Xu 332225d46eSJiawei Linclass ExceptionAddrIO(implicit p: Parameters) extends XSBundle { 34c7658a75SYinan Xu val isStore = Input(Bool()) 35c7658a75SYinan Xu val vaddr = Output(UInt(VAddrBits.W)) 36c7658a75SYinan Xu} 37c7658a75SYinan Xu 382225d46eSJiawei Linclass FwdEntry extends Bundle { 393db2cf75SWilliam Wang val validFast = Bool() // validFast is generated the same cycle with query 403db2cf75SWilliam Wang val valid = Bool() // valid is generated 1 cycle after query request 413db2cf75SWilliam Wang val data = UInt(8.W) // data is generated 1 cycle after query request 42a8179b86SWilliam Wang} 43a8179b86SWilliam Wang 44c7658a75SYinan Xu// inflight miss block reqs 452225d46eSJiawei Linclass InflightBlockInfo(implicit p: Parameters) extends XSBundle { 46c7658a75SYinan Xu val block_addr = UInt(PAddrBits.W) 47c7658a75SYinan Xu val valid = Bool() 48c7658a75SYinan Xu} 49c7658a75SYinan Xu 5093eb4d85Ssfencevmaclass LsqEnqIO(implicit p: Parameters) extends MemBlockBundle { 5108fafef0SYinan Xu val canAccept = Output(Bool()) 5254dc1a5aSXuan Hu val needAlloc = Vec(LSQEnqWidth, Input(UInt(2.W))) 5354dc1a5aSXuan Hu val req = Vec(LSQEnqWidth, Flipped(ValidIO(new DynInst))) 5454dc1a5aSXuan Hu val resp = Vec(LSQEnqWidth, Output(new LSIdx)) 5508fafef0SYinan Xu} 56780ade3fSYinan Xu 57780ade3fSYinan Xu// Load / Store Queue Wrapper for XiangShan Out of Order LSU 58e4f69d78Ssfencevmaclass LsqWrapper(implicit p: Parameters) extends XSModule with HasDCacheParameters with HasPerfEvents { 59780ade3fSYinan Xu val io = IO(new Bundle() { 605668a921SJiawei Lin val hartId = Input(UInt(8.W)) 612d7c7105SYinan Xu val brqRedirect = Flipped(ValidIO(new Redirect)) 62e4f69d78Ssfencevma val enq = new LsqEnqIO 63e4f69d78Ssfencevma val ldu = new Bundle() { 6414a67055Ssfencevma val stld_nuke_query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO)) // from load_s2 6514a67055Ssfencevma val ldld_nuke_query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO)) // from load_s2 6614a67055Ssfencevma val ldin = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle))) // from load_s3 67e4f69d78Ssfencevma } 68e4f69d78Ssfencevma val sta = new Bundle() { 69e4f69d78Ssfencevma val storeMaskIn = Vec(StorePipelineWidth, Flipped(Valid(new StoreMaskBundle))) // from store_s0, store mask, send to sq from rs 70e4f69d78Ssfencevma val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) // from store_s1 71e4f69d78Ssfencevma val storeAddrInRe = Vec(StorePipelineWidth, Input(new LsPipelineBundle())) // from store_s2 7220a5248fSzhanglinjuan val vecStoreAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) 73e4f69d78Ssfencevma } 74e4f69d78Ssfencevma val std = new Bundle() { 7568d13085SXuan Hu val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new MemExuOutput))) // from store_s0, store data, send to sq from rs 76e4f69d78Ssfencevma } 77c61abc0cSXuan Hu val ldout = Vec(LoadPipelineWidth, DecoupledIO(new MemExuOutput)) 7814a67055Ssfencevma val ld_raw_data = Vec(LoadPipelineWidth, Output(new LoadDataFromLQBundle)) 79e4f69d78Ssfencevma val replay = Vec(LoadPipelineWidth, Decoupled(new LsPipelineBundle)) 800d32f713Shappy-lx val sbuffer = Vec(EnsbufferWidth, Decoupled(new DCacheWordReqWithVaddrAndPfFlag)) 811b7adedcSWilliam Wang val forward = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO)) 829aca92b9SYinan Xu val rob = Flipped(new RobLsqIO) 83cd2ff98bShappy-lx val nuke_rollback = Output(Valid(new Redirect)) 84cd2ff98bShappy-lx val nack_rollback = Output(Valid(new Redirect)) 85e4f69d78Ssfencevma val release = Flipped(Valid(new Release)) 86e4f69d78Ssfencevma val refill = Flipped(Valid(new Refill)) 879444e131Ssfencevma val tl_d_channel = Input(new DcacheToLduForwardIO) 88e4f69d78Ssfencevma val uncacheOutstanding = Input(Bool()) 896786cfb7SWilliam Wang val uncache = new UncacheWordIO 9068d13085SXuan Hu val mmioStout = DecoupledIO(new MemExuOutput) // writeback uncached store 91e4f69d78Ssfencevma val sqEmpty = Output(Bool()) 9214a67055Ssfencevma val lq_rep_full = Output(Bool()) 93edd6ddbcSwakafa val sqFull = Output(Bool()) 94edd6ddbcSwakafa val lqFull = Output(Bool()) 9510551d4eSYinan Xu val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize+1).W)) 96e4f69d78Ssfencevma val lqCancelCnt = Output(UInt(log2Up(VirtualLoadQueueSize+1).W)) 97e4f69d78Ssfencevma val lqDeq = Output(UInt(log2Up(CommitWidth + 1).W)) 9846f74b57SHaojin Tang val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W)) 99d2b20d1aSTang Haojin val lqCanAccept = Output(Bool()) 100d2b20d1aSTang Haojin val sqCanAccept = Output(Bool()) 10158dbfdf7Szhanglinjuan val lqDeqPtr = Output(new LqPtr) 10258dbfdf7Szhanglinjuan val sqDeqPtr = Output(new SqPtr) 103e4f69d78Ssfencevma val exceptionAddr = new ExceptionAddrIO 104b978565cSWilliam Wang val trigger = Vec(LoadPipelineWidth, new LqTriggerIO) 105e4f69d78Ssfencevma val issuePtrExt = Output(new SqPtr) 10614a67055Ssfencevma val l2_hint = Input(Valid(new L2ToL1Hint())) 107185e6164SHaoyuan Feng val tlb_hint = Flipped(new TlbHintIO) 1082fdb4d6aShappy-lx val force_write = Output(Bool()) 1090d32f713Shappy-lx val lqEmpty = Output(Bool()) 11020a5248fSzhanglinjuan 11120a5248fSzhanglinjuan // vector 11220a5248fSzhanglinjuan val vecWriteback = Flipped(ValidIO(new MemExuOutput(isVector = true))) 11320a5248fSzhanglinjuan val vecStoreRetire = Flipped(ValidIO(new SqPtr)) 11495767918Szhanglinjuan val vecMMIOReplay = Vec(VecLoadPipelineWidth, DecoupledIO(new LsPipelineBundle())) 11520a5248fSzhanglinjuan 11620a5248fSzhanglinjuan // top-down 11760ebee38STang Haojin val debugTopDown = new LoadQueueTopDownIO 118c7658a75SYinan Xu }) 119c7658a75SYinan Xu 120c7658a75SYinan Xu val loadQueue = Module(new LoadQueue) 121c7658a75SYinan Xu val storeQueue = Module(new StoreQueue) 122c7658a75SYinan Xu 1235668a921SJiawei Lin storeQueue.io.hartId := io.hartId 12437225120Ssfencevma storeQueue.io.uncacheOutstanding := io.uncacheOutstanding 1255668a921SJiawei Lin 126a760aeb0Shappy-lx 127a760aeb0Shappy-lx dontTouch(loadQueue.io.tlbReplayDelayCycleCtrl) 128c61abc0cSXuan Hu // Todo: imm 1298a610956Ssfencevma val tlbReplayDelayCycleCtrl = WireInit(VecInit(Seq(14.U(ReSelectLen.W), 0.U(ReSelectLen.W), 125.U(ReSelectLen.W), 0.U(ReSelectLen.W)))) 130a760aeb0Shappy-lx loadQueue.io.tlbReplayDelayCycleCtrl := tlbReplayDelayCycleCtrl 131a760aeb0Shappy-lx 13208fafef0SYinan Xu // io.enq logic 13308fafef0SYinan Xu // LSQ: send out canAccept when both load queue and store queue are ready 13408fafef0SYinan Xu // Dispatch: send instructions to LSQ only when they are ready 13508fafef0SYinan Xu io.enq.canAccept := loadQueue.io.enq.canAccept && storeQueue.io.enq.canAccept 136d2b20d1aSTang Haojin io.lqCanAccept := loadQueue.io.enq.canAccept 137d2b20d1aSTang Haojin io.sqCanAccept := storeQueue.io.enq.canAccept 13803f2ceceSYinan Xu loadQueue.io.enq.sqCanAccept := storeQueue.io.enq.canAccept 13903f2ceceSYinan Xu storeQueue.io.enq.lqCanAccept := loadQueue.io.enq.canAccept 14058dbfdf7Szhanglinjuan io.lqDeqPtr := loadQueue.io.lqDeqPtr 14158dbfdf7Szhanglinjuan io.sqDeqPtr := storeQueue.io.sqDeqPtr 1427057cff8SYinan Xu for (i <- io.enq.req.indices) { 143049559e7SYinan Xu loadQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(0) 144049559e7SYinan Xu loadQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(0) && io.enq.req(i).valid 14508fafef0SYinan Xu loadQueue.io.enq.req(i).bits := io.enq.req(i).bits 1467057cff8SYinan Xu loadQueue.io.enq.req(i).bits.sqIdx := storeQueue.io.enq.resp(i) 147780ade3fSYinan Xu 148049559e7SYinan Xu storeQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(1) 149049559e7SYinan Xu storeQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(1) && io.enq.req(i).valid 15008fafef0SYinan Xu storeQueue.io.enq.req(i).bits := io.enq.req(i).bits 1517057cff8SYinan Xu storeQueue.io.enq.req(i).bits := io.enq.req(i).bits 1527057cff8SYinan Xu storeQueue.io.enq.req(i).bits.lqIdx := loadQueue.io.enq.resp(i) 153780ade3fSYinan Xu 15408fafef0SYinan Xu io.enq.resp(i).lqIdx := loadQueue.io.enq.resp(i) 15508fafef0SYinan Xu io.enq.resp(i).sqIdx := storeQueue.io.enq.resp(i) 15608fafef0SYinan Xu } 15708fafef0SYinan Xu 158e4f69d78Ssfencevma // store queue wiring 159e4f69d78Ssfencevma storeQueue.io.brqRedirect <> io.brqRedirect 160e4f69d78Ssfencevma storeQueue.io.storeAddrIn <> io.sta.storeAddrIn // from store_s1 16124c8b0e1Sweiding liu storeQueue.io.vecStoreAddrIn <> io.sta.vecStoreAddrIn // store_s1 162e4f69d78Ssfencevma storeQueue.io.storeAddrInRe <> io.sta.storeAddrInRe // from store_s2 163e4f69d78Ssfencevma storeQueue.io.storeDataIn <> io.std.storeDataIn // from store_s0 164e4f69d78Ssfencevma storeQueue.io.storeMaskIn <> io.sta.storeMaskIn // from store_s0 165e4f69d78Ssfencevma storeQueue.io.sbuffer <> io.sbuffer 166e4f69d78Ssfencevma storeQueue.io.mmioStout <> io.mmioStout 167e4f69d78Ssfencevma storeQueue.io.rob <> io.rob 168e4f69d78Ssfencevma storeQueue.io.exceptionAddr.isStore := DontCare 169e4f69d78Ssfencevma storeQueue.io.sqCancelCnt <> io.sqCancelCnt 170e4f69d78Ssfencevma storeQueue.io.sqDeq <> io.sqDeq 171e4f69d78Ssfencevma storeQueue.io.sqEmpty <> io.sqEmpty 172e4f69d78Ssfencevma storeQueue.io.sqFull <> io.sqFull 173e4f69d78Ssfencevma storeQueue.io.forward <> io.forward // overlap forwardMask & forwardData, DO NOT CHANGE SEQUENCE 1742fdb4d6aShappy-lx storeQueue.io.force_write <> io.force_write 17520a5248fSzhanglinjuan storeQueue.io.vecStoreRetire <> io.vecStoreRetire 176e4f69d78Ssfencevma 177e4f69d78Ssfencevma /* <------- DANGEROUS: Don't change sequence here ! -------> */ 178e4f69d78Ssfencevma 179c7658a75SYinan Xu // load queue wiring 180e4f69d78Ssfencevma loadQueue.io.redirect <> io.brqRedirect 181e4f69d78Ssfencevma loadQueue.io.ldu <> io.ldu 18214a67055Ssfencevma loadQueue.io.ldout <> io.ldout 18314a67055Ssfencevma loadQueue.io.ld_raw_data <> io.ld_raw_data 1849aca92b9SYinan Xu loadQueue.io.rob <> io.rob 185cd2ff98bShappy-lx loadQueue.io.nuke_rollback <> io.nuke_rollback 186cd2ff98bShappy-lx loadQueue.io.nack_rollback <> io.nack_rollback 187e4f69d78Ssfencevma loadQueue.io.replay <> io.replay 18809203307SWilliam Wang loadQueue.io.refill <> io.refill 1899444e131Ssfencevma loadQueue.io.tl_d_channel <> io.tl_d_channel 19067682d05SWilliam Wang loadQueue.io.release <> io.release 191b978565cSWilliam Wang loadQueue.io.trigger <> io.trigger 192c7658a75SYinan Xu loadQueue.io.exceptionAddr.isStore := DontCare 19310551d4eSYinan Xu loadQueue.io.lqCancelCnt <> io.lqCancelCnt 194e4f69d78Ssfencevma loadQueue.io.sq.stAddrReadySqPtr <> storeQueue.io.stAddrReadySqPtr 195e4f69d78Ssfencevma loadQueue.io.sq.stAddrReadyVec <> storeQueue.io.stAddrReadyVec 196e4f69d78Ssfencevma loadQueue.io.sq.stDataReadySqPtr <> storeQueue.io.stDataReadySqPtr 197e4f69d78Ssfencevma loadQueue.io.sq.stDataReadyVec <> storeQueue.io.stDataReadyVec 198e4f69d78Ssfencevma loadQueue.io.sq.stIssuePtr <> storeQueue.io.stIssuePtr 199e4f69d78Ssfencevma loadQueue.io.sq.sqEmpty <> storeQueue.io.sqEmpty 200e4f69d78Ssfencevma loadQueue.io.sta.storeAddrIn <> io.sta.storeAddrIn // store_s1 20120a5248fSzhanglinjuan loadQueue.io.sta.vecStoreAddrIn <> io.sta.vecStoreAddrIn // store_s1 202e4f69d78Ssfencevma loadQueue.io.std.storeDataIn <> io.std.storeDataIn // store_s0 203e4f69d78Ssfencevma loadQueue.io.lqFull <> io.lqFull 20414a67055Ssfencevma loadQueue.io.lq_rep_full <> io.lq_rep_full 205e4f69d78Ssfencevma loadQueue.io.lqDeq <> io.lqDeq 20614a67055Ssfencevma loadQueue.io.l2_hint <> io.l2_hint 207185e6164SHaoyuan Feng loadQueue.io.tlb_hint <> io.tlb_hint 2080d32f713Shappy-lx loadQueue.io.lqEmpty <> io.lqEmpty 20920a5248fSzhanglinjuan loadQueue.io.vecWriteback <> io.vecWriteback 21095767918Szhanglinjuan loadQueue.io.vecMMIOReplay <> io.vecMMIOReplay 2112dcbb932SWilliam Wang 2128a33de1fSYinan Xu // rob commits for lsq is delayed for two cycles, which causes the delayed update for deqPtr in lq/sq 2138a33de1fSYinan Xu // s0: commit 2148a33de1fSYinan Xu // s1: exception find 2158a33de1fSYinan Xu // s2: exception triggered 2168a33de1fSYinan Xu // s3: ptr updated & new address 2178a33de1fSYinan Xu // address will be used at the next cycle after exception is triggered 2188a33de1fSYinan Xu io.exceptionAddr.vaddr := Mux(RegNext(io.exceptionAddr.isStore), storeQueue.io.exceptionAddr.vaddr, loadQueue.io.exceptionAddr.vaddr) 219e4f69d78Ssfencevma io.issuePtrExt := storeQueue.io.stAddrReadySqPtr 220c7658a75SYinan Xu 221c7658a75SYinan Xu // naive uncache arbiter 222c7658a75SYinan Xu val s_idle :: s_load :: s_store :: Nil = Enum(3) 22310aac6e7SWilliam Wang val pendingstate = RegInit(s_idle) 224c7658a75SYinan Xu 22510aac6e7SWilliam Wang switch(pendingstate){ 226c7658a75SYinan Xu is(s_idle){ 227ce9ef727Ssfencevma when(io.uncache.req.fire){ 22837225120Ssfencevma pendingstate := Mux(loadQueue.io.uncache.req.valid, s_load, 22937225120Ssfencevma Mux(io.uncacheOutstanding, s_idle, s_store)) 230c7658a75SYinan Xu } 231c7658a75SYinan Xu } 232c7658a75SYinan Xu is(s_load){ 233935edac4STang Haojin when(io.uncache.resp.fire){ 23410aac6e7SWilliam Wang pendingstate := s_idle 235c7658a75SYinan Xu } 236c7658a75SYinan Xu } 237c7658a75SYinan Xu is(s_store){ 238935edac4STang Haojin when(io.uncache.resp.fire){ 23910aac6e7SWilliam Wang pendingstate := s_idle 240c7658a75SYinan Xu } 241c7658a75SYinan Xu } 242c7658a75SYinan Xu } 243c7658a75SYinan Xu 244c7658a75SYinan Xu loadQueue.io.uncache := DontCare 245c7658a75SYinan Xu storeQueue.io.uncache := DontCare 246935edac4STang Haojin loadQueue.io.uncache.req.ready := false.B 247935edac4STang Haojin storeQueue.io.uncache.req.ready := false.B 248c7658a75SYinan Xu loadQueue.io.uncache.resp.valid := false.B 249c7658a75SYinan Xu storeQueue.io.uncache.resp.valid := false.B 250c7658a75SYinan Xu when(loadQueue.io.uncache.req.valid){ 251c7658a75SYinan Xu io.uncache.req <> loadQueue.io.uncache.req 252c7658a75SYinan Xu }.otherwise{ 253c7658a75SYinan Xu io.uncache.req <> storeQueue.io.uncache.req 254c7658a75SYinan Xu } 25537225120Ssfencevma when (io.uncacheOutstanding) { 25637225120Ssfencevma io.uncache.resp <> loadQueue.io.uncache.resp 25737225120Ssfencevma } .otherwise { 25810aac6e7SWilliam Wang when(pendingstate === s_load){ 259c7658a75SYinan Xu io.uncache.resp <> loadQueue.io.uncache.resp 260c7658a75SYinan Xu }.otherwise{ 261c7658a75SYinan Xu io.uncache.resp <> storeQueue.io.uncache.resp 262c7658a75SYinan Xu } 26337225120Ssfencevma } 26437225120Ssfencevma 26560ebee38STang Haojin loadQueue.io.debugTopDown <> io.debugTopDown 266c7658a75SYinan Xu 267c7658a75SYinan Xu assert(!(loadQueue.io.uncache.req.valid && storeQueue.io.uncache.req.valid)) 268c7658a75SYinan Xu assert(!(loadQueue.io.uncache.resp.valid && storeQueue.io.uncache.resp.valid)) 26937225120Ssfencevma when (!io.uncacheOutstanding) { 27010aac6e7SWilliam Wang assert(!((loadQueue.io.uncache.resp.valid || storeQueue.io.uncache.resp.valid) && pendingstate === s_idle)) 27137225120Ssfencevma } 272c7658a75SYinan Xu 273cd365d4cSrvcoresjw 2741ca0e4f3SYinan Xu val perfEvents = Seq(loadQueue, storeQueue).flatMap(_.getPerfEvents) 2751ca0e4f3SYinan Xu generatePerfEvent() 276c7658a75SYinan Xu} 27710551d4eSYinan Xu 27810551d4eSYinan Xuclass LsqEnqCtrl(implicit p: Parameters) extends XSModule { 27910551d4eSYinan Xu val io = IO(new Bundle { 28010551d4eSYinan Xu val redirect = Flipped(ValidIO(new Redirect)) 28110551d4eSYinan Xu // to dispatch 28210551d4eSYinan Xu val enq = new LsqEnqIO 283e4f69d78Ssfencevma // from `memBlock.io.lqDeq 28410551d4eSYinan Xu val lcommit = Input(UInt(log2Up(CommitWidth + 1).W)) 28546f74b57SHaojin Tang // from `memBlock.io.sqDeq` 28646f74b57SHaojin Tang val scommit = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) 28710551d4eSYinan Xu // from/tp lsq 288e4f69d78Ssfencevma val lqCancelCnt = Input(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 28910551d4eSYinan Xu val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 29010551d4eSYinan Xu val enqLsq = Flipped(new LsqEnqIO) 29110551d4eSYinan Xu }) 29210551d4eSYinan Xu 29310551d4eSYinan Xu val lqPtr = RegInit(0.U.asTypeOf(new LqPtr)) 29410551d4eSYinan Xu val sqPtr = RegInit(0.U.asTypeOf(new SqPtr)) 295e4f69d78Ssfencevma val lqCounter = RegInit(VirtualLoadQueueSize.U(log2Up(VirtualLoadQueueSize + 1).W)) 29610551d4eSYinan Xu val sqCounter = RegInit(StoreQueueSize.U(log2Up(StoreQueueSize + 1).W)) 29710551d4eSYinan Xu val canAccept = RegInit(false.B) 29810551d4eSYinan Xu 2993ea094fbSzhanglinjuan val loadEnqVec = io.enq.req.zip(io.enq.needAlloc).map(x => x._1.valid && x._2(0)) 3003ea094fbSzhanglinjuan val storeEnqVec = io.enq.req.zip(io.enq.needAlloc).map(x => x._1.valid && x._2(1)) 3013ea094fbSzhanglinjuan val loadEnqNumber = PopCount(loadEnqVec) 3023ea094fbSzhanglinjuan val storeEnqNumber = PopCount(storeEnqVec) 3033ea094fbSzhanglinjuan val isLastUopVec = io.enq.req.map(_.bits.lastUop) 3043ea094fbSzhanglinjuan val lqAllocNumber = PopCount(loadEnqVec.zip(isLastUopVec).map(x => x._1 && x._2)) 3053ea094fbSzhanglinjuan val sqAllocNumber = PopCount(storeEnqVec.zip(isLastUopVec).map(x => x._1 && x._2)) 30610551d4eSYinan Xu 30710551d4eSYinan Xu // How to update ptr and counter: 30810551d4eSYinan Xu // (1) by default, updated according to enq/commit 30910551d4eSYinan Xu // (2) when redirect and dispatch queue is empty, update according to lsq 31010551d4eSYinan Xu val t1_redirect = RegNext(io.redirect.valid) 31110551d4eSYinan Xu val t2_redirect = RegNext(t1_redirect) 31210551d4eSYinan Xu val t2_update = t2_redirect && !VecInit(io.enq.needAlloc.map(_.orR)).asUInt.orR 31310551d4eSYinan Xu val t3_update = RegNext(t2_update) 31410551d4eSYinan Xu val t3_lqCancelCnt = RegNext(io.lqCancelCnt) 31510551d4eSYinan Xu val t3_sqCancelCnt = RegNext(io.sqCancelCnt) 31610551d4eSYinan Xu when (t3_update) { 31710551d4eSYinan Xu lqPtr := lqPtr - t3_lqCancelCnt 31810551d4eSYinan Xu lqCounter := lqCounter + io.lcommit + t3_lqCancelCnt 31910551d4eSYinan Xu sqPtr := sqPtr - t3_sqCancelCnt 32010551d4eSYinan Xu sqCounter := sqCounter + io.scommit + t3_sqCancelCnt 32110551d4eSYinan Xu }.elsewhen (!io.redirect.valid && io.enq.canAccept) { 3223ea094fbSzhanglinjuan lqPtr := lqPtr + lqAllocNumber 3233ea094fbSzhanglinjuan lqCounter := lqCounter + io.lcommit - lqAllocNumber 3243ea094fbSzhanglinjuan sqPtr := sqPtr + sqAllocNumber 3253ea094fbSzhanglinjuan sqCounter := sqCounter + io.scommit - sqAllocNumber 32610551d4eSYinan Xu }.otherwise { 32710551d4eSYinan Xu lqCounter := lqCounter + io.lcommit 32810551d4eSYinan Xu sqCounter := sqCounter + io.scommit 32910551d4eSYinan Xu } 33010551d4eSYinan Xu 33110551d4eSYinan Xu 332*d97a1af7SXuan Hu val lqMaxAllocate = LSQLdEnqWidth 333*d97a1af7SXuan Hu val sqMaxAllocate = LSQStEnqWidth 334*d97a1af7SXuan Hu val maxAllocate = lqMaxAllocate max sqMaxAllocate 335*d97a1af7SXuan Hu val ldCanAccept = lqCounter >= lqAllocNumber +& lqMaxAllocate.U 336*d97a1af7SXuan Hu val sqCanAccept = sqCounter >= sqAllocNumber +& sqMaxAllocate.U 33710551d4eSYinan Xu // It is possible that t3_update and enq are true at the same clock cycle. 33810551d4eSYinan Xu // For example, if redirect.valid lasts more than one clock cycle, 33910551d4eSYinan Xu // after the last redirect, new instructions may enter but previously redirect 34010551d4eSYinan Xu // has not been resolved (updated according to the cancel count from LSQ). 34110551d4eSYinan Xu // To solve the issue easily, we block enqueue when t3_update, which is RegNext(t2_update). 34210551d4eSYinan Xu io.enq.canAccept := RegNext(ldCanAccept && sqCanAccept && !t2_update) 34310551d4eSYinan Xu val lqOffset = Wire(Vec(io.enq.resp.length, UInt(log2Up(maxAllocate + 1).W))) 34410551d4eSYinan Xu val sqOffset = Wire(Vec(io.enq.resp.length, UInt(log2Up(maxAllocate + 1).W))) 34510551d4eSYinan Xu for ((resp, i) <- io.enq.resp.zipWithIndex) { 3463ea094fbSzhanglinjuan lqOffset(i) := PopCount(io.enq.needAlloc.zip(isLastUopVec).take(i).map(x => x._1(0) && x._2)) 34710551d4eSYinan Xu resp.lqIdx := lqPtr + lqOffset(i) 3483ea094fbSzhanglinjuan sqOffset(i) := PopCount(io.enq.needAlloc.zip(isLastUopVec).take(i).map(x => x._1(1) && x._2)) 34910551d4eSYinan Xu resp.sqIdx := sqPtr + sqOffset(i) 35010551d4eSYinan Xu } 35110551d4eSYinan Xu 3523ea094fbSzhanglinjuan io.enqLsq.needAlloc := RegNext(VecInit(io.enq.needAlloc.zip(io.enq.req).map(x => x._1 & Fill(2, x._2.bits.lastUop)))) 35310551d4eSYinan Xu io.enqLsq.req.zip(io.enq.req).zip(io.enq.resp).foreach{ case ((toLsq, enq), resp) => 3543ea094fbSzhanglinjuan val do_enq = enq.valid && !io.redirect.valid && io.enq.canAccept && enq.bits.lastUop 35510551d4eSYinan Xu toLsq.valid := RegNext(do_enq) 35610551d4eSYinan Xu toLsq.bits := RegEnable(enq.bits, do_enq) 35710551d4eSYinan Xu toLsq.bits.lqIdx := RegEnable(resp.lqIdx, do_enq) 35810551d4eSYinan Xu toLsq.bits.sqIdx := RegEnable(resp.sqIdx, do_enq) 35910551d4eSYinan Xu } 36010551d4eSYinan Xu 36110551d4eSYinan Xu}