11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters 201d8f4dcbSJayimport chisel3._ 211d8f4dcbSJayimport chisel3.util._ 221d8f4dcbSJayimport freechips.rocketchip.diplomacy.IdRange 231d8f4dcbSJayimport freechips.rocketchip.tilelink.ClientStates._ 241d8f4dcbSJayimport freechips.rocketchip.tilelink.TLPermissions._ 251d8f4dcbSJayimport freechips.rocketchip.tilelink._ 261d8f4dcbSJayimport xiangshan._ 271d8f4dcbSJayimport xiangshan.cache._ 281d8f4dcbSJayimport utils._ 293c02ee8fSwakafaimport utility._ 3041cb8b61SJeniusimport difftest._ 311d8f4dcbSJay 321d8f4dcbSJay 331d8f4dcbSJayabstract class ICacheMissUnitModule(implicit p: Parameters) extends XSModule 341d8f4dcbSJay with HasICacheParameters 351d8f4dcbSJay 361d8f4dcbSJayabstract class ICacheMissUnitBundle(implicit p: Parameters) extends XSBundle 371d8f4dcbSJay with HasICacheParameters 381d8f4dcbSJay 391d8f4dcbSJayclass ICacheMissReq(implicit p: Parameters) extends ICacheBundle 401d8f4dcbSJay{ 411d8f4dcbSJay val paddr = UInt(PAddrBits.W) 421d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 431d8f4dcbSJay val waymask = UInt(nWays.W) 441d8f4dcbSJay 451d8f4dcbSJay def getVirSetIdx = get_idx(vaddr) 461d8f4dcbSJay def getPhyTag = get_phy_tag(paddr) 471d8f4dcbSJay} 481d8f4dcbSJay 491d8f4dcbSJay 501d8f4dcbSJayclass ICacheMissResp(implicit p: Parameters) extends ICacheBundle 511d8f4dcbSJay{ 521d8f4dcbSJay val data = UInt(blockBits.W) 5358dbdfc2SJay val corrupt = Bool() 541d8f4dcbSJay} 551d8f4dcbSJay 561d8f4dcbSJayclass ICacheMissBundle(implicit p: Parameters) extends ICacheBundle{ 571d8f4dcbSJay val req = Vec(2, Flipped(DecoupledIO(new ICacheMissReq))) 581d8f4dcbSJay val resp = Vec(2,ValidIO(new ICacheMissResp)) 591d8f4dcbSJay val flush = Input(Bool()) 601d8f4dcbSJay} 611d8f4dcbSJay 621d8f4dcbSJay 631d8f4dcbSJayclass ICacheMissEntry(edge: TLEdgeOut, id: Int)(implicit p: Parameters) extends ICacheMissUnitModule 641d8f4dcbSJay with MemoryOpConstants 651d8f4dcbSJay{ 661d8f4dcbSJay val io = IO(new Bundle { 677052722fSJay val id = Input(UInt(log2Ceil(PortNumber).W)) 681d8f4dcbSJay 691d8f4dcbSJay val req = Flipped(DecoupledIO(new ICacheMissReq)) 701d8f4dcbSJay val resp = ValidIO(new ICacheMissResp) 711d8f4dcbSJay 721d8f4dcbSJay //tilelink channel 731d8f4dcbSJay val mem_acquire = DecoupledIO(new TLBundleA(edge.bundle)) 741d8f4dcbSJay val mem_grant = Flipped(DecoupledIO(new TLBundleD(edge.bundle))) 751d8f4dcbSJay 761d8f4dcbSJay val meta_write = DecoupledIO(new ICacheMetaWriteBundle) 771d8f4dcbSJay val data_write = DecoupledIO(new ICacheDataWriteBundle) 781d8f4dcbSJay 79974a902cSguohongyu val ongoing_req = ValidIO(UInt(PAddrBits.W)) 802a6078bfSguohongyu val fencei = Input(Bool()) 811d8f4dcbSJay }) 821d8f4dcbSJay 831d8f4dcbSJay /** default value for control signals */ 841d8f4dcbSJay io.resp := DontCare 851d8f4dcbSJay io.mem_acquire.bits := DontCare 861d8f4dcbSJay io.mem_grant.ready := true.B 871d8f4dcbSJay io.meta_write.bits := DontCare 881d8f4dcbSJay io.data_write.bits := DontCare 891d8f4dcbSJay 9038160951Sguohongyu val s_idle :: s_send_mem_aquire :: s_wait_mem_grant :: s_write_back :: s_wait_resp :: Nil = Enum(5) 911d8f4dcbSJay val state = RegInit(s_idle) 921d8f4dcbSJay /** control logic transformation */ 931d8f4dcbSJay //request register 941d8f4dcbSJay val req = Reg(new ICacheMissReq) 951d8f4dcbSJay val req_idx = req.getVirSetIdx //virtual index 961d8f4dcbSJay val req_tag = req.getPhyTag //physical tag 971d8f4dcbSJay val req_waymask = req.waymask 9858dbdfc2SJay val req_corrupt = RegInit(false.B) 991d8f4dcbSJay 1001d8f4dcbSJay val (_, _, refill_done, refill_address_inc) = edge.addr_inc(io.mem_grant) 1011d8f4dcbSJay 1022a6078bfSguohongyu val needflush_r = RegInit(false.B) 1032a6078bfSguohongyu when (state === s_idle) { needflush_r := false.B } 1042a6078bfSguohongyu when (state =/= s_idle && io.fencei) { needflush_r := true.B } 1052a6078bfSguohongyu val needflush = needflush_r | io.fencei 1062a6078bfSguohongyu 1071d8f4dcbSJay //cacheline register 1081d8f4dcbSJay val readBeatCnt = Reg(UInt(log2Up(refillCycles).W)) 1091d8f4dcbSJay val respDataReg = Reg(Vec(refillCycles, UInt(beatBits.W))) 1101d8f4dcbSJay 1111d8f4dcbSJay //initial 1121d8f4dcbSJay io.resp.bits := DontCare 1131d8f4dcbSJay io.mem_acquire.bits := DontCare 1141d8f4dcbSJay io.mem_grant.ready := true.B 1151d8f4dcbSJay io.meta_write.bits := DontCare 1161d8f4dcbSJay io.data_write.bits := DontCare 1171d8f4dcbSJay 1181d8f4dcbSJay io.req.ready := (state === s_idle) 1192a25dbb4SJay io.mem_acquire.valid := (state === s_send_mem_aquire) 1201d8f4dcbSJay 121974a902cSguohongyu io.ongoing_req.valid := (state =/= s_idle) 122974a902cSguohongyu io.ongoing_req.bits := addrAlign(req.paddr, blockBytes, PAddrBits) 12300240ba6SJay 1241d8f4dcbSJay //state change 1251d8f4dcbSJay switch(state) { 1261d8f4dcbSJay is(s_idle) { 1271d8f4dcbSJay when(io.req.fire()) { 1281d8f4dcbSJay readBeatCnt := 0.U 1291d8f4dcbSJay state := s_send_mem_aquire 1301d8f4dcbSJay req := io.req.bits 1311d8f4dcbSJay } 1321d8f4dcbSJay } 1331d8f4dcbSJay 1341d8f4dcbSJay // memory request 1351d8f4dcbSJay is(s_send_mem_aquire) { 1361d8f4dcbSJay when(io.mem_acquire.fire()) { 1371d8f4dcbSJay state := s_wait_mem_grant 1381d8f4dcbSJay } 1391d8f4dcbSJay } 1401d8f4dcbSJay 1411d8f4dcbSJay is(s_wait_mem_grant) { 1421d8f4dcbSJay when(edge.hasData(io.mem_grant.bits)) { 1431d8f4dcbSJay when(io.mem_grant.fire()) { 1441d8f4dcbSJay readBeatCnt := readBeatCnt + 1.U 1451d8f4dcbSJay respDataReg(readBeatCnt) := io.mem_grant.bits.data 14638160951Sguohongyu req_corrupt := io.mem_grant.bits.corrupt // TODO: seems has bug 1471d8f4dcbSJay when(readBeatCnt === (refillCycles - 1).U) { 1481d8f4dcbSJay assert(refill_done, "refill not done!") 1494da04e5bSguohongyu state := s_write_back 1501d8f4dcbSJay } 1511d8f4dcbSJay } 1521d8f4dcbSJay } 1531d8f4dcbSJay } 1541d8f4dcbSJay 1552a25dbb4SJay is(s_write_back) { 1562a6078bfSguohongyu state := Mux(io.meta_write.fire() && io.data_write.fire() || needflush, s_wait_resp, s_write_back) 1572a25dbb4SJay } 1582a25dbb4SJay 1591d8f4dcbSJay is(s_wait_resp) { 1601d8f4dcbSJay io.resp.bits.data := respDataReg.asUInt 16158dbdfc2SJay io.resp.bits.corrupt := req_corrupt 1621d8f4dcbSJay when(io.resp.fire()) { 1631d8f4dcbSJay state := s_idle 1641d8f4dcbSJay } 1651d8f4dcbSJay } 1661d8f4dcbSJay } 1671d8f4dcbSJay 1681d8f4dcbSJay /** refill write and meta write */ 16938160951Sguohongyu 17038160951Sguohongyu val getBlock = edge.Get( 17138160951Sguohongyu fromSource = io.id, 17238160951Sguohongyu toAddress = addrAlign(req.paddr, blockBytes, PAddrBits), 17338160951Sguohongyu lgSize = (log2Up(cacheParams.blockBytes)).U 17438160951Sguohongyu )._2 17538160951Sguohongyu 1764da04e5bSguohongyu io.mem_acquire.bits := getBlock // getBlock 177d2b20d1aSTang Haojin // req source 178d2b20d1aSTang Haojin io.mem_acquire.bits.user.lift(ReqSourceKey).foreach(_ := MemReqSource.CPUInst.id.U) 1791d8f4dcbSJay require(nSets <= 256) // icache size should not be more than 128KB 1801d8f4dcbSJay 1811d8f4dcbSJay //resp to ifu 1821d8f4dcbSJay io.resp.valid := state === s_wait_resp 1832a25dbb4SJay 1842a6078bfSguohongyu io.meta_write.valid := (state === s_write_back && !needflush) 1854da04e5bSguohongyu io.meta_write.bits.generate(tag = req_tag, idx = req_idx, waymask = req_waymask, bankIdx = req_idx(0)) 1862a25dbb4SJay 1872a6078bfSguohongyu io.data_write.valid := (state === s_write_back && !needflush) 18841cb8b61SJenius io.data_write.bits.generate(data = respDataReg.asUInt, 18941cb8b61SJenius idx = req_idx, 19041cb8b61SJenius waymask = req_waymask, 19141cb8b61SJenius bankIdx = req_idx(0), 19241cb8b61SJenius paddr = req.paddr) 1931d8f4dcbSJay 1941d8f4dcbSJay XSPerfAccumulate( 1951d8f4dcbSJay "entryPenalty" + Integer.toString(id, 10), 1961d8f4dcbSJay BoolStopWatch( 1971d8f4dcbSJay start = io.req.fire(), 1981d8f4dcbSJay stop = io.resp.valid, 1991d8f4dcbSJay startHighPriority = true) 2001d8f4dcbSJay ) 2011d8f4dcbSJay XSPerfAccumulate("entryReq" + Integer.toString(id, 10), io.req.fire()) 2021d8f4dcbSJay} 2031d8f4dcbSJay 2041d8f4dcbSJay 2051d8f4dcbSJayclass ICacheMissUnit(edge: TLEdgeOut)(implicit p: Parameters) extends ICacheMissUnitModule 2061d8f4dcbSJay{ 2071d8f4dcbSJay val io = IO(new Bundle{ 20841cb8b61SJenius val hartId = Input(UInt(8.W)) 2091d8f4dcbSJay val req = Vec(2, Flipped(DecoupledIO(new ICacheMissReq))) 2101d8f4dcbSJay val resp = Vec(2, ValidIO(new ICacheMissResp)) 2111d8f4dcbSJay 2121d8f4dcbSJay val mem_acquire = DecoupledIO(new TLBundleA(edge.bundle)) 2131d8f4dcbSJay val mem_grant = Flipped(DecoupledIO(new TLBundleD(edge.bundle))) 2141d8f4dcbSJay 215cb6e5d3cSssszwic val fdip_acquire = Flipped(DecoupledIO(new TLBundleA(edge.bundle))) 216cb6e5d3cSssszwic val fdip_grant = DecoupledIO(new TLBundleD(edge.bundle)) 217cb6e5d3cSssszwic 2181d8f4dcbSJay val meta_write = DecoupledIO(new ICacheMetaWriteBundle) 2191d8f4dcbSJay val data_write = DecoupledIO(new ICacheDataWriteBundle) 2201d8f4dcbSJay 221cb6e5d3cSssszwic val mshrInfo = Vec(PortNumber, ValidIO(UInt(PAddrBits.W))) 22200240ba6SJay 223b1ded4e8Sguohongyu val fencei = Input(Bool()) 2241d8f4dcbSJay }) 2251d8f4dcbSJay // assign default values to output signals 2261d8f4dcbSJay io.mem_grant.ready := false.B 2271d8f4dcbSJay 2281d8f4dcbSJay val meta_write_arb = Module(new Arbiter(new ICacheMetaWriteBundle, PortNumber)) 2291d8f4dcbSJay val refill_arb = Module(new Arbiter(new ICacheDataWriteBundle, PortNumber)) 2301d8f4dcbSJay 2311d8f4dcbSJay io.mem_grant.ready := true.B 2321d8f4dcbSJay 2332a25dbb4SJay val entries = (0 until PortNumber) map { i => 2341d8f4dcbSJay val entry = Module(new ICacheMissEntry(edge, i)) 2351d8f4dcbSJay 2361d8f4dcbSJay entry.io.id := i.U 2371d8f4dcbSJay 2381d8f4dcbSJay // entry req 2391d8f4dcbSJay entry.io.req.valid := io.req(i).valid 2401d8f4dcbSJay entry.io.req.bits := io.req(i).bits 2411d8f4dcbSJay io.req(i).ready := entry.io.req.ready 2421d8f4dcbSJay 2431d8f4dcbSJay // entry resp 2441d8f4dcbSJay meta_write_arb.io.in(i) <> entry.io.meta_write 2451d8f4dcbSJay refill_arb.io.in(i) <> entry.io.data_write 2461d8f4dcbSJay 2471d8f4dcbSJay entry.io.mem_grant.valid := false.B 2481d8f4dcbSJay entry.io.mem_grant.bits := DontCare 2491d8f4dcbSJay when (io.mem_grant.bits.source === i.U) { 2501d8f4dcbSJay entry.io.mem_grant <> io.mem_grant 2511d8f4dcbSJay } 2521d8f4dcbSJay 2531d8f4dcbSJay io.resp(i) <> entry.io.resp 254cb6e5d3cSssszwic io.mshrInfo(i) <> entry.io.ongoing_req 2552a6078bfSguohongyu entry.io.fencei := io.fencei 256d4112e88Sguohongyu// XSPerfAccumulate( 257d4112e88Sguohongyu// "entryPenalty" + Integer.toString(i, 10), 258d4112e88Sguohongyu// BoolStopWatch( 259d4112e88Sguohongyu// start = entry.io.req.fire(), 260d4112e88Sguohongyu// stop = entry.io.resp.fire(), 261d4112e88Sguohongyu// startHighPriority = true) 262d4112e88Sguohongyu// ) 263d4112e88Sguohongyu// XSPerfAccumulate("entryReq" + Integer.toString(i, 10), entry.io.req.fire()) 2641d8f4dcbSJay 2651d8f4dcbSJay entry 2661d8f4dcbSJay } 2671d8f4dcbSJay 268cb6e5d3cSssszwic io.fdip_grant.valid := false.B 269cb6e5d3cSssszwic io.fdip_grant.bits := DontCare 270cb6e5d3cSssszwic when (io.mem_grant.bits.source === PortNumber.U) { 271cb6e5d3cSssszwic io.fdip_grant <> io.mem_grant 2727052722fSJay } 2737052722fSJay 274cb6e5d3cSssszwic val tl_a_chanel = entries.map(_.io.mem_acquire) :+ io.fdip_acquire 2757052722fSJay TLArbiter.lowest(edge, io.mem_acquire, tl_a_chanel:_*) 2767052722fSJay 2771d8f4dcbSJay io.meta_write <> meta_write_arb.io.out 2781d8f4dcbSJay io.data_write <> refill_arb.io.out 2791d8f4dcbSJay 280afa866b1Sguohongyu if (env.EnableDifftest) { 281*a0c65233SYinan Xu val difftest = DifftestModule(new DiffRefillEvent, dontCare = true) 2827d45a146SYinan Xu difftest.coreid := io.hartId 2837d45a146SYinan Xu difftest.index := 0.U 2847d45a146SYinan Xu difftest.valid := refill_arb.io.out.valid 2857d45a146SYinan Xu difftest.addr := refill_arb.io.out.bits.paddr 2867d45a146SYinan Xu difftest.data := refill_arb.io.out.bits.data.asTypeOf(difftest.data) 28741cb8b61SJenius } 28841cb8b61SJenius 2891d8f4dcbSJay (0 until nWays).map{ w => 2901d8f4dcbSJay XSPerfAccumulate("line_0_refill_way_" + Integer.toString(w, 10), entries(0).io.meta_write.valid && OHToUInt(entries(0).io.meta_write.bits.waymask) === w.U) 2911d8f4dcbSJay XSPerfAccumulate("line_1_refill_way_" + Integer.toString(w, 10), entries(1).io.meta_write.valid && OHToUInt(entries(1).io.meta_write.bits.waymask) === w.U) 2921d8f4dcbSJay } 2931d8f4dcbSJay 2941d8f4dcbSJay} 295