11d8f4dcbSJay/*************************************************************************************** 21d8f4dcbSJay* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory 41d8f4dcbSJay* 51d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2. 61d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2. 71d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at: 81d8f4dcbSJay* http://license.coscl.org.cn/MulanPSL2 91d8f4dcbSJay* 101d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131d8f4dcbSJay* 141d8f4dcbSJay* See the Mulan PSL v2 for more details. 151d8f4dcbSJay***************************************************************************************/ 161d8f4dcbSJay 171d8f4dcbSJaypackage xiangshan.frontend.icache 181d8f4dcbSJay 191d8f4dcbSJayimport chipsalliance.rocketchip.config.Parameters 201d8f4dcbSJayimport chisel3._ 211d8f4dcbSJayimport chisel3.util._ 221d8f4dcbSJayimport freechips.rocketchip.diplomacy.IdRange 231d8f4dcbSJayimport freechips.rocketchip.tilelink.ClientStates._ 241d8f4dcbSJayimport freechips.rocketchip.tilelink.TLPermissions._ 251d8f4dcbSJayimport freechips.rocketchip.tilelink._ 261d8f4dcbSJayimport xiangshan._ 271d8f4dcbSJayimport huancun.{AliasKey, DirtyKey} 281d8f4dcbSJayimport xiangshan.cache._ 291d8f4dcbSJayimport utils._ 301d8f4dcbSJay 311d8f4dcbSJay 321d8f4dcbSJayabstract class ICacheMissUnitModule(implicit p: Parameters) extends XSModule 331d8f4dcbSJay with HasICacheParameters 341d8f4dcbSJay 351d8f4dcbSJayabstract class ICacheMissUnitBundle(implicit p: Parameters) extends XSBundle 361d8f4dcbSJay with HasICacheParameters 371d8f4dcbSJay 381d8f4dcbSJayclass ICacheMissReq(implicit p: Parameters) extends ICacheBundle 391d8f4dcbSJay{ 401d8f4dcbSJay val paddr = UInt(PAddrBits.W) 411d8f4dcbSJay val vaddr = UInt(VAddrBits.W) 421d8f4dcbSJay val waymask = UInt(nWays.W) 431d8f4dcbSJay val coh = new ClientMetadata 441d8f4dcbSJay 451d8f4dcbSJay def getVirSetIdx = get_idx(vaddr) 461d8f4dcbSJay def getPhyTag = get_phy_tag(paddr) 471d8f4dcbSJay} 481d8f4dcbSJay 491d8f4dcbSJay 501d8f4dcbSJayclass ICacheMissResp(implicit p: Parameters) extends ICacheBundle 511d8f4dcbSJay{ 521d8f4dcbSJay val data = UInt(blockBits.W) 531d8f4dcbSJay} 541d8f4dcbSJay 551d8f4dcbSJayclass ICacheMissBundle(implicit p: Parameters) extends ICacheBundle{ 561d8f4dcbSJay val req = Vec(2, Flipped(DecoupledIO(new ICacheMissReq))) 571d8f4dcbSJay val resp = Vec(2,ValidIO(new ICacheMissResp)) 581d8f4dcbSJay val flush = Input(Bool()) 591d8f4dcbSJay} 601d8f4dcbSJay 611d8f4dcbSJay 621d8f4dcbSJayclass ICacheMissEntry(edge: TLEdgeOut, id: Int)(implicit p: Parameters) extends ICacheMissUnitModule 631d8f4dcbSJay with MemoryOpConstants 641d8f4dcbSJay{ 651d8f4dcbSJay val io = IO(new Bundle { 661d8f4dcbSJay val id = Input(UInt(log2Ceil(nMissEntries).W)) 671d8f4dcbSJay 681d8f4dcbSJay val req = Flipped(DecoupledIO(new ICacheMissReq)) 691d8f4dcbSJay val resp = ValidIO(new ICacheMissResp) 701d8f4dcbSJay 711d8f4dcbSJay //tilelink channel 721d8f4dcbSJay val mem_acquire = DecoupledIO(new TLBundleA(edge.bundle)) 731d8f4dcbSJay val mem_grant = Flipped(DecoupledIO(new TLBundleD(edge.bundle))) 741d8f4dcbSJay val mem_finish = DecoupledIO(new TLBundleE(edge.bundle)) 751d8f4dcbSJay 761d8f4dcbSJay val meta_write = DecoupledIO(new ICacheMetaWriteBundle) 771d8f4dcbSJay val data_write = DecoupledIO(new ICacheDataWriteBundle) 781d8f4dcbSJay 79*2a25dbb4SJay val release_req = DecoupledIO(new ReplacePipeReq) 80*2a25dbb4SJay val release_resp = Flipped(ValidIO(UInt(ReplaceIdWid.W))) 81*2a25dbb4SJay val victimInfor = Output(new ICacheVictimInfor()) 821d8f4dcbSJay }) 831d8f4dcbSJay 841d8f4dcbSJay /** default value for control signals */ 851d8f4dcbSJay io.resp := DontCare 861d8f4dcbSJay io.mem_acquire.bits := DontCare 871d8f4dcbSJay io.mem_grant.ready := true.B 881d8f4dcbSJay io.meta_write.bits := DontCare 891d8f4dcbSJay io.data_write.bits := DontCare 901d8f4dcbSJay 91*2a25dbb4SJay val s_idle :: s_send_mem_aquire :: s_wait_mem_grant :: s_write_back :: s_send_grant_ack :: s_send_replace :: s_wait_replace :: s_wait_resp :: Nil = Enum(8) 921d8f4dcbSJay val state = RegInit(s_idle) 931d8f4dcbSJay /** control logic transformation */ 941d8f4dcbSJay //request register 951d8f4dcbSJay val req = Reg(new ICacheMissReq) 961d8f4dcbSJay val req_idx = req.getVirSetIdx //virtual index 971d8f4dcbSJay val req_tag = req.getPhyTag //physical tag 981d8f4dcbSJay val req_waymask = req.waymask 99*2a25dbb4SJay val release_id = Cat(MissQueueKey.U, id.U) 100*2a25dbb4SJay val victim_need_release = req.coh.isValid() 1011d8f4dcbSJay 102*2a25dbb4SJay io.victimInfor.valid := state === s_send_replace || state === s_wait_replace || state === s_wait_resp 103*2a25dbb4SJay io.victimInfor.vidx := req_idx 1041d8f4dcbSJay 1051d8f4dcbSJay val (_, _, refill_done, refill_address_inc) = edge.addr_inc(io.mem_grant) 1061d8f4dcbSJay 1071d8f4dcbSJay //cacheline register 1081d8f4dcbSJay val readBeatCnt = Reg(UInt(log2Up(refillCycles).W)) 1091d8f4dcbSJay val respDataReg = Reg(Vec(refillCycles, UInt(beatBits.W))) 1101d8f4dcbSJay 1111d8f4dcbSJay //initial 1121d8f4dcbSJay io.resp.bits := DontCare 1131d8f4dcbSJay io.mem_acquire.bits := DontCare 1141d8f4dcbSJay io.mem_grant.ready := true.B 1151d8f4dcbSJay io.meta_write.bits := DontCare 1161d8f4dcbSJay io.data_write.bits := DontCare 1171d8f4dcbSJay 118*2a25dbb4SJay io.release_req.bits.paddr := req.paddr 119*2a25dbb4SJay io.release_req.bits.vaddr := req.vaddr 120*2a25dbb4SJay io.release_req.bits.voluntary := true.B 121*2a25dbb4SJay io.release_req.bits.waymask := req.waymask 122*2a25dbb4SJay io.release_req.bits.id := release_id 123*2a25dbb4SJay io.release_req.bits.param := DontCare //release will not care tilelink param 1241d8f4dcbSJay 1251d8f4dcbSJay io.req.ready := (state === s_idle) 126*2a25dbb4SJay io.mem_acquire.valid := (state === s_send_mem_aquire) 127*2a25dbb4SJay io.release_req.valid := (state === s_send_replace) 1281d8f4dcbSJay 1291d8f4dcbSJay val grantack = RegEnable(edge.GrantAck(io.mem_grant.bits), io.mem_grant.fire()) 1301d8f4dcbSJay val grant_param = Reg(UInt(TLPermissions.bdWidth.W)) 1311d8f4dcbSJay val is_dirty = RegInit(false.B) 1321d8f4dcbSJay val is_grant = RegEnable(edge.isRequest(io.mem_grant.bits), io.mem_grant.fire()) 1331d8f4dcbSJay 1341d8f4dcbSJay //state change 1351d8f4dcbSJay switch(state) { 1361d8f4dcbSJay is(s_idle) { 1371d8f4dcbSJay when(io.req.fire()) { 1381d8f4dcbSJay readBeatCnt := 0.U 1391d8f4dcbSJay state := s_send_mem_aquire 1401d8f4dcbSJay req := io.req.bits 1411d8f4dcbSJay } 1421d8f4dcbSJay } 1431d8f4dcbSJay 1441d8f4dcbSJay // memory request 1451d8f4dcbSJay is(s_send_mem_aquire) { 1461d8f4dcbSJay when(io.mem_acquire.fire()) { 1471d8f4dcbSJay state := s_wait_mem_grant 1481d8f4dcbSJay } 1491d8f4dcbSJay } 1501d8f4dcbSJay 1511d8f4dcbSJay is(s_wait_mem_grant) { 1521d8f4dcbSJay when(edge.hasData(io.mem_grant.bits)) { 1531d8f4dcbSJay when(io.mem_grant.fire()) { 1541d8f4dcbSJay readBeatCnt := readBeatCnt + 1.U 1551d8f4dcbSJay respDataReg(readBeatCnt) := io.mem_grant.bits.data 1561d8f4dcbSJay grant_param := io.mem_grant.bits.param 1571d8f4dcbSJay is_dirty := io.mem_grant.bits.echo.lift(DirtyKey).getOrElse(false.B) 1581d8f4dcbSJay when(readBeatCnt === (refillCycles - 1).U) { 1591d8f4dcbSJay assert(refill_done, "refill not done!") 160*2a25dbb4SJay state := s_send_grant_ack 1611d8f4dcbSJay } 1621d8f4dcbSJay } 1631d8f4dcbSJay } 1641d8f4dcbSJay } 1651d8f4dcbSJay 1661d8f4dcbSJay is(s_send_grant_ack) { 1671d8f4dcbSJay when(io.mem_finish.fire()) { 168*2a25dbb4SJay state := Mux(victim_need_release,s_send_replace,s_write_back) 1691d8f4dcbSJay } 1701d8f4dcbSJay } 1711d8f4dcbSJay 172*2a25dbb4SJay is(s_send_replace){ 173*2a25dbb4SJay when(io.release_req.fire()){ 174*2a25dbb4SJay state := s_wait_replace 175*2a25dbb4SJay } 176*2a25dbb4SJay } 177*2a25dbb4SJay 178*2a25dbb4SJay is(s_wait_replace){ 179*2a25dbb4SJay when(io.release_resp.valid && io.release_resp.bits === release_id){ 180*2a25dbb4SJay state := s_write_back 181*2a25dbb4SJay } 182*2a25dbb4SJay } 183*2a25dbb4SJay 184*2a25dbb4SJay is(s_write_back) { 185*2a25dbb4SJay state := Mux(io.meta_write.fire() && io.data_write.fire(), s_wait_resp, s_write_back) 186*2a25dbb4SJay } 187*2a25dbb4SJay 1881d8f4dcbSJay is(s_wait_resp) { 1891d8f4dcbSJay io.resp.bits.data := respDataReg.asUInt 1901d8f4dcbSJay when(io.resp.fire()) { 1911d8f4dcbSJay state := s_idle 1921d8f4dcbSJay } 1931d8f4dcbSJay } 1941d8f4dcbSJay } 1951d8f4dcbSJay 1961d8f4dcbSJay /** refill write and meta write */ 1971d8f4dcbSJay val missCoh = ClientMetadata(Nothing) 1981d8f4dcbSJay val grow_param = missCoh.onAccess(M_XRD)._2 1991d8f4dcbSJay val acquireBlock = edge.AcquireBlock( 2001d8f4dcbSJay fromSource = io.id, 2011d8f4dcbSJay toAddress = addrAlign(req.paddr, blockBytes, PAddrBits), 2021d8f4dcbSJay lgSize = (log2Up(cacheParams.blockBytes)).U, 2031d8f4dcbSJay growPermissions = grow_param 2041d8f4dcbSJay )._2 2051d8f4dcbSJay io.mem_acquire.bits := acquireBlock 2061d8f4dcbSJay // resolve cache alias by L2 2071d8f4dcbSJay io.mem_acquire.bits.user.lift(AliasKey).foreach(_ := req.vaddr(13, 12)) 2081d8f4dcbSJay require(nSets <= 256) // icache size should not be more than 128KB 2091d8f4dcbSJay 2101d8f4dcbSJay /** Grant ACK */ 2111d8f4dcbSJay io.mem_finish.valid := (state === s_send_grant_ack) && is_grant 2121d8f4dcbSJay io.mem_finish.bits := grantack 2131d8f4dcbSJay 2141d8f4dcbSJay //resp to ifu 2151d8f4dcbSJay io.resp.valid := state === s_wait_resp 216*2a25dbb4SJay /** update coh meta */ 217*2a25dbb4SJay def missCohGen(param: UInt, dirty: Bool): UInt = { 218*2a25dbb4SJay MuxLookup(Cat(param, dirty), Nothing, Seq( 219*2a25dbb4SJay Cat(toB, false.B) -> Branch, 220*2a25dbb4SJay Cat(toB, true.B) -> Branch, 221*2a25dbb4SJay Cat(toT, false.B) -> Trunk, 222*2a25dbb4SJay Cat(toT, true.B) -> Dirty)) 223*2a25dbb4SJay } 224*2a25dbb4SJay 225*2a25dbb4SJay val miss_new_coh = ClientMetadata(missCohGen(grant_param, is_dirty)) 226*2a25dbb4SJay 227*2a25dbb4SJay io.meta_write.valid := (state === s_write_back) 228*2a25dbb4SJay io.meta_write.bits.generate(tag = req_tag, coh = miss_new_coh, idx = req_idx, waymask = req_waymask, bankIdx = req_idx(0)) 229*2a25dbb4SJay 230*2a25dbb4SJay io.data_write.valid := (state === s_write_back) 231*2a25dbb4SJay io.data_write.bits.generate(data = respDataReg.asUInt, idx = req_idx, waymask = req_waymask, bankIdx = req_idx(0)) 2321d8f4dcbSJay 2331d8f4dcbSJay XSPerfAccumulate( 2341d8f4dcbSJay "entryPenalty" + Integer.toString(id, 10), 2351d8f4dcbSJay BoolStopWatch( 2361d8f4dcbSJay start = io.req.fire(), 2371d8f4dcbSJay stop = io.resp.valid, 2381d8f4dcbSJay startHighPriority = true) 2391d8f4dcbSJay ) 2401d8f4dcbSJay XSPerfAccumulate("entryReq" + Integer.toString(id, 10), io.req.fire()) 2411d8f4dcbSJay 2421d8f4dcbSJay} 2431d8f4dcbSJay 2441d8f4dcbSJay 2451d8f4dcbSJayclass ICacheMissUnit(edge: TLEdgeOut)(implicit p: Parameters) extends ICacheMissUnitModule 2461d8f4dcbSJay{ 2471d8f4dcbSJay val io = IO(new Bundle{ 2481d8f4dcbSJay val req = Vec(2, Flipped(DecoupledIO(new ICacheMissReq))) 2491d8f4dcbSJay val resp = Vec(2, ValidIO(new ICacheMissResp)) 2501d8f4dcbSJay 2511d8f4dcbSJay val mem_acquire = DecoupledIO(new TLBundleA(edge.bundle)) 2521d8f4dcbSJay val mem_grant = Flipped(DecoupledIO(new TLBundleD(edge.bundle))) 2531d8f4dcbSJay val mem_finish = DecoupledIO(new TLBundleE(edge.bundle)) 2541d8f4dcbSJay 2551d8f4dcbSJay val meta_write = DecoupledIO(new ICacheMetaWriteBundle) 2561d8f4dcbSJay val data_write = DecoupledIO(new ICacheDataWriteBundle) 2571d8f4dcbSJay 258*2a25dbb4SJay val release_req = DecoupledIO(new ReplacePipeReq) 259*2a25dbb4SJay val release_resp = Flipped(ValidIO(UInt(ReplaceIdWid.W))) 2601d8f4dcbSJay 261*2a25dbb4SJay val victimInfor = Vec(PortNumber, Output(new ICacheVictimInfor())) 262*2a25dbb4SJay 2631d8f4dcbSJay }) 2641d8f4dcbSJay // assign default values to output signals 2651d8f4dcbSJay io.mem_grant.ready := false.B 2661d8f4dcbSJay 2671d8f4dcbSJay val meta_write_arb = Module(new Arbiter(new ICacheMetaWriteBundle, PortNumber)) 2681d8f4dcbSJay val refill_arb = Module(new Arbiter(new ICacheDataWriteBundle, PortNumber)) 269*2a25dbb4SJay val release_arb = Module(new Arbiter(new ReplacePipeReq, PortNumber)) 2701d8f4dcbSJay 2711d8f4dcbSJay io.mem_grant.ready := true.B 2721d8f4dcbSJay 273*2a25dbb4SJay val entries = (0 until PortNumber) map { i => 2741d8f4dcbSJay val entry = Module(new ICacheMissEntry(edge, i)) 2751d8f4dcbSJay 2761d8f4dcbSJay entry.io.id := i.U 2771d8f4dcbSJay 2781d8f4dcbSJay // entry req 2791d8f4dcbSJay entry.io.req.valid := io.req(i).valid 2801d8f4dcbSJay entry.io.req.bits := io.req(i).bits 2811d8f4dcbSJay io.req(i).ready := entry.io.req.ready 2821d8f4dcbSJay 2831d8f4dcbSJay // entry resp 2841d8f4dcbSJay meta_write_arb.io.in(i) <> entry.io.meta_write 2851d8f4dcbSJay refill_arb.io.in(i) <> entry.io.data_write 286*2a25dbb4SJay release_arb.io.in(i) <> entry.io.release_req 2871d8f4dcbSJay 2881d8f4dcbSJay entry.io.mem_grant.valid := false.B 2891d8f4dcbSJay entry.io.mem_grant.bits := DontCare 2901d8f4dcbSJay when (io.mem_grant.bits.source === i.U) { 2911d8f4dcbSJay entry.io.mem_grant <> io.mem_grant 2921d8f4dcbSJay } 2931d8f4dcbSJay 2941d8f4dcbSJay io.resp(i) <> entry.io.resp 2951d8f4dcbSJay 296*2a25dbb4SJay io.victimInfor(i) := entry.io.victimInfor 297*2a25dbb4SJay 298*2a25dbb4SJay entry.io.release_resp <> io.release_resp 299*2a25dbb4SJay 3001d8f4dcbSJay XSPerfAccumulate( 3011d8f4dcbSJay "entryPenalty" + Integer.toString(i, 10), 3021d8f4dcbSJay BoolStopWatch( 3031d8f4dcbSJay start = entry.io.req.fire(), 3041d8f4dcbSJay stop = entry.io.resp.fire(), 3051d8f4dcbSJay startHighPriority = true) 3061d8f4dcbSJay ) 3071d8f4dcbSJay XSPerfAccumulate("entryReq" + Integer.toString(i, 10), entry.io.req.fire()) 3081d8f4dcbSJay 3091d8f4dcbSJay entry 3101d8f4dcbSJay } 3111d8f4dcbSJay 3121d8f4dcbSJay TLArbiter.lowest(edge, io.mem_acquire, entries.map(_.io.mem_acquire):_*) 3131d8f4dcbSJay TLArbiter.lowest(edge, io.mem_finish, entries.map(_.io.mem_finish):_*) 3141d8f4dcbSJay 3151d8f4dcbSJay io.meta_write <> meta_write_arb.io.out 3161d8f4dcbSJay io.data_write <> refill_arb.io.out 317*2a25dbb4SJay io.release_req <> release_arb.io.out 3181d8f4dcbSJay 3191d8f4dcbSJay (0 until nWays).map{ w => 3201d8f4dcbSJay XSPerfAccumulate("line_0_refill_way_" + Integer.toString(w, 10), entries(0).io.meta_write.valid && OHToUInt(entries(0).io.meta_write.bits.waymask) === w.U) 3211d8f4dcbSJay XSPerfAccumulate("line_1_refill_way_" + Integer.toString(w, 10), entries(1).io.meta_write.valid && OHToUInt(entries(1).io.meta_write.bits.waymask) === w.U) 3221d8f4dcbSJay } 3231d8f4dcbSJay 3241d8f4dcbSJay} 3251d8f4dcbSJay 3261d8f4dcbSJay 3271d8f4dcbSJay 328