xref: /XiangShan/src/main/scala/xiangshan/frontend/NewFtq.scala (revision 9c8f16f29b2c4ce1d72aa8a85b74a49cd00c82f1)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.frontend
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import xiangshan._
24import xiangshan.frontend.icache._
25import xiangshan.backend.CtrlToFtqIO
26import xiangshan.backend.decode.ImmUnion
27
28class FtqPtr(implicit p: Parameters) extends CircularQueuePtr[FtqPtr](
29  p => p(XSCoreParamsKey).FtqSize
30){
31}
32
33object FtqPtr {
34  def apply(f: Bool, v: UInt)(implicit p: Parameters): FtqPtr = {
35    val ptr = Wire(new FtqPtr)
36    ptr.flag := f
37    ptr.value := v
38    ptr
39  }
40  def inverse(ptr: FtqPtr)(implicit p: Parameters): FtqPtr = {
41    apply(!ptr.flag, ptr.value)
42  }
43}
44
45class FtqNRSRAM[T <: Data](gen: T, numRead: Int)(implicit p: Parameters) extends XSModule {
46
47  val io = IO(new Bundle() {
48    val raddr = Input(Vec(numRead, UInt(log2Up(FtqSize).W)))
49    val ren = Input(Vec(numRead, Bool()))
50    val rdata = Output(Vec(numRead, gen))
51    val waddr = Input(UInt(log2Up(FtqSize).W))
52    val wen = Input(Bool())
53    val wdata = Input(gen)
54  })
55
56  for(i <- 0 until numRead){
57    val sram = Module(new SRAMTemplate(gen, FtqSize))
58    sram.io.r.req.valid := io.ren(i)
59    sram.io.r.req.bits.setIdx := io.raddr(i)
60    io.rdata(i) := sram.io.r.resp.data(0)
61    sram.io.w.req.valid := io.wen
62    sram.io.w.req.bits.setIdx := io.waddr
63    sram.io.w.req.bits.data := VecInit(io.wdata)
64  }
65
66}
67
68class Ftq_RF_Components(implicit p: Parameters) extends XSBundle with BPUUtils {
69  val startAddr = UInt(VAddrBits.W)
70  val nextLineAddr = UInt(VAddrBits.W)
71  val isNextMask = Vec(PredictWidth, Bool())
72  val fallThruError = Bool()
73  // val carry = Bool()
74  def getPc(offset: UInt) = {
75    def getHigher(pc: UInt) = pc(VAddrBits-1, log2Ceil(PredictWidth)+instOffsetBits+1)
76    def getOffset(pc: UInt) = pc(log2Ceil(PredictWidth)+instOffsetBits, instOffsetBits)
77    Cat(getHigher(Mux(isNextMask(offset) && startAddr(log2Ceil(PredictWidth)+instOffsetBits), nextLineAddr, startAddr)),
78        getOffset(startAddr)+offset, 0.U(instOffsetBits.W))
79  }
80  def fromBranchPrediction(resp: BranchPredictionBundle) = {
81    def carryPos(addr: UInt) = addr(instOffsetBits+log2Ceil(PredictWidth)+1)
82    this.startAddr := resp.pc
83    this.nextLineAddr := resp.pc + (FetchWidth * 4 * 2).U // may be broken on other configs
84    this.isNextMask := VecInit((0 until PredictWidth).map(i =>
85      (resp.pc(log2Ceil(PredictWidth), 1) +& i.U)(log2Ceil(PredictWidth)).asBool()
86    ))
87    this.fallThruError := resp.fallThruError
88    this
89  }
90  override def toPrintable: Printable = {
91    p"startAddr:${Hexadecimal(startAddr)}"
92  }
93}
94
95class Ftq_pd_Entry(implicit p: Parameters) extends XSBundle {
96  val brMask = Vec(PredictWidth, Bool())
97  val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
98  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
99  val jalTarget = UInt(VAddrBits.W)
100  val rvcMask = Vec(PredictWidth, Bool())
101  def hasJal  = jmpInfo.valid && !jmpInfo.bits(0)
102  def hasJalr = jmpInfo.valid && jmpInfo.bits(0)
103  def hasCall = jmpInfo.valid && jmpInfo.bits(1)
104  def hasRet  = jmpInfo.valid && jmpInfo.bits(2)
105
106  def fromPdWb(pdWb: PredecodeWritebackBundle) = {
107    val pds = pdWb.pd
108    this.brMask := VecInit(pds.map(pd => pd.isBr && pd.valid))
109    this.jmpInfo.valid := VecInit(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid)).asUInt.orR
110    this.jmpInfo.bits := ParallelPriorityMux(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid),
111                                             pds.map(pd => VecInit(pd.isJalr, pd.isCall, pd.isRet)))
112    this.jmpOffset := ParallelPriorityEncoder(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid))
113    this.rvcMask := VecInit(pds.map(pd => pd.isRVC))
114    this.jalTarget := pdWb.jalTarget
115  }
116
117  def toPd(offset: UInt) = {
118    require(offset.getWidth == log2Ceil(PredictWidth))
119    val pd = Wire(new PreDecodeInfo)
120    pd.valid := true.B
121    pd.isRVC := rvcMask(offset)
122    val isBr = brMask(offset)
123    val isJalr = offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(0)
124    pd.brType := Cat(offset === jmpOffset && jmpInfo.valid, isJalr || isBr)
125    pd.isCall := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(1)
126    pd.isRet  := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(2)
127    pd
128  }
129}
130
131
132
133class Ftq_Redirect_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
134  val rasSp = UInt(log2Ceil(RasSize).W)
135  val rasEntry = new RASEntry
136  // val specCnt = Vec(numBr, UInt(10.W))
137  // val ghist = new ShiftingGlobalHistory
138  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
139  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
140  val lastBrNumOH = UInt((numBr+1).W)
141
142  val histPtr = new CGHPtr
143
144  def fromBranchPrediction(resp: BranchPredictionBundle) = {
145    assert(!resp.is_minimal)
146    this.rasSp := resp.rasSp
147    this.rasEntry := resp.rasTop
148    this.folded_hist := resp.folded_hist
149    this.afhob := resp.afhob
150    this.lastBrNumOH := resp.lastBrNumOH
151    this.histPtr := resp.histPtr
152    this
153  }
154}
155
156class Ftq_1R_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
157  val meta = UInt(MaxMetaLength.W)
158}
159
160class Ftq_Pred_Info(implicit p: Parameters) extends XSBundle {
161  val target = UInt(VAddrBits.W)
162  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
163}
164
165
166class FtqRead[T <: Data](private val gen: T)(implicit p: Parameters) extends XSBundle {
167  val ptr = Output(new FtqPtr)
168  val offset = Output(UInt(log2Ceil(PredictWidth).W))
169  val data = Input(gen)
170  def apply(ptr: FtqPtr, offset: UInt) = {
171    this.ptr := ptr
172    this.offset := offset
173    this.data
174  }
175}
176
177
178class FtqToBpuIO(implicit p: Parameters) extends XSBundle {
179  val redirect = Valid(new BranchPredictionRedirect)
180  val update = Valid(new BranchPredictionUpdate)
181  val enq_ptr = Output(new FtqPtr)
182}
183
184class FtqToIfuIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
185  val req = Decoupled(new FetchRequestBundle)
186  val redirect = Valid(new Redirect)
187  val flushFromBpu = new Bundle {
188    // when ifu pipeline is not stalled,
189    // a packet from bpu s3 can reach f1 at most
190    val s2 = Valid(new FtqPtr)
191    val s3 = Valid(new FtqPtr)
192    def shouldFlushBy(src: Valid[FtqPtr], idx_to_flush: FtqPtr) = {
193      src.valid && !isAfter(src.bits, idx_to_flush)
194    }
195    def shouldFlushByStage2(idx: FtqPtr) = shouldFlushBy(s2, idx)
196    def shouldFlushByStage3(idx: FtqPtr) = shouldFlushBy(s3, idx)
197  }
198}
199
200class FtqToICacheIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
201  //NOTE: req.bits must be prepare in T cycle
202  // while req.valid is set true in T + 1 cycle
203  val req = Decoupled(new FtqToICacheRequestBundle)
204}
205
206trait HasBackendRedirectInfo extends HasXSParameter {
207  def numRedirectPcRead = exuParameters.JmpCnt + exuParameters.AluCnt + 1
208  def isLoadReplay(r: Valid[Redirect]) = r.bits.flushItself()
209}
210
211class FtqToCtrlIO(implicit p: Parameters) extends XSBundle with HasBackendRedirectInfo {
212  // write to backend pc mem
213  val pc_mem_wen = Output(Bool())
214  val pc_mem_waddr = Output(UInt(log2Ceil(FtqSize).W))
215  val pc_mem_wdata = Output(new Ftq_RF_Components)
216  // newest target
217  val newest_entry_target = Output(UInt(VAddrBits.W))
218  val newest_entry_ptr = Output(new FtqPtr)
219}
220
221
222class FTBEntryGen(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo with HasBPUParameter {
223  val io = IO(new Bundle {
224    val start_addr = Input(UInt(VAddrBits.W))
225    val old_entry = Input(new FTBEntry)
226    val pd = Input(new Ftq_pd_Entry)
227    val cfiIndex = Flipped(Valid(UInt(log2Ceil(PredictWidth).W)))
228    val target = Input(UInt(VAddrBits.W))
229    val hit = Input(Bool())
230    val mispredict_vec = Input(Vec(PredictWidth, Bool()))
231
232    val new_entry = Output(new FTBEntry)
233    val new_br_insert_pos = Output(Vec(numBr, Bool()))
234    val taken_mask = Output(Vec(numBr, Bool()))
235    val mispred_mask = Output(Vec(numBr+1, Bool()))
236
237    // for perf counters
238    val is_init_entry = Output(Bool())
239    val is_old_entry = Output(Bool())
240    val is_new_br = Output(Bool())
241    val is_jalr_target_modified = Output(Bool())
242    val is_always_taken_modified = Output(Bool())
243    val is_br_full = Output(Bool())
244  })
245
246  // no mispredictions detected at predecode
247  val hit = io.hit
248  val pd = io.pd
249
250  val init_entry = WireInit(0.U.asTypeOf(new FTBEntry))
251
252
253  val cfi_is_br = pd.brMask(io.cfiIndex.bits) && io.cfiIndex.valid
254  val entry_has_jmp = pd.jmpInfo.valid
255  val new_jmp_is_jal  = entry_has_jmp && !pd.jmpInfo.bits(0) && io.cfiIndex.valid
256  val new_jmp_is_jalr = entry_has_jmp &&  pd.jmpInfo.bits(0) && io.cfiIndex.valid
257  val new_jmp_is_call = entry_has_jmp &&  pd.jmpInfo.bits(1) && io.cfiIndex.valid
258  val new_jmp_is_ret  = entry_has_jmp &&  pd.jmpInfo.bits(2) && io.cfiIndex.valid
259  val last_jmp_rvi = entry_has_jmp && pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask.last
260  // val last_br_rvi = cfi_is_br && io.cfiIndex.bits === (PredictWidth-1).U && !pd.rvcMask.last
261
262  val cfi_is_jal = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jal
263  val cfi_is_jalr = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jalr
264
265  def carryPos = log2Ceil(PredictWidth)+instOffsetBits
266  def getLower(pc: UInt) = pc(carryPos-1, instOffsetBits)
267  // if not hit, establish a new entry
268  init_entry.valid := true.B
269  // tag is left for ftb to assign
270
271  // case br
272  val init_br_slot = init_entry.getSlotForBr(0)
273  when (cfi_is_br) {
274    init_br_slot.valid := true.B
275    init_br_slot.offset := io.cfiIndex.bits
276    init_br_slot.setLowerStatByTarget(io.start_addr, io.target, numBr == 1)
277    init_entry.always_taken(0) := true.B // set to always taken on init
278  }
279
280  // case jmp
281  when (entry_has_jmp) {
282    init_entry.tailSlot.offset := pd.jmpOffset
283    init_entry.tailSlot.valid := new_jmp_is_jal || new_jmp_is_jalr
284    init_entry.tailSlot.setLowerStatByTarget(io.start_addr, Mux(cfi_is_jalr, io.target, pd.jalTarget), isShare=false)
285  }
286
287  val jmpPft = getLower(io.start_addr) +& pd.jmpOffset +& Mux(pd.rvcMask(pd.jmpOffset), 1.U, 2.U)
288  init_entry.pftAddr := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft, getLower(io.start_addr))
289  init_entry.carry   := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft(carryPos-instOffsetBits), true.B)
290  init_entry.isJalr := new_jmp_is_jalr
291  init_entry.isCall := new_jmp_is_call
292  init_entry.isRet  := new_jmp_is_ret
293  // that means fall thru points to the middle of an inst
294  init_entry.last_may_be_rvi_call := pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask(pd.jmpOffset)
295
296  // if hit, check whether a new cfi(only br is possible) is detected
297  val oe = io.old_entry
298  val br_recorded_vec = oe.getBrRecordedVec(io.cfiIndex.bits)
299  val br_recorded = br_recorded_vec.asUInt.orR
300  val is_new_br = cfi_is_br && !br_recorded
301  val new_br_offset = io.cfiIndex.bits
302  // vec(i) means new br will be inserted BEFORE old br(i)
303  val allBrSlotsVec = oe.allSlotsForBr
304  val new_br_insert_onehot = VecInit((0 until numBr).map{
305    i => i match {
306      case 0 =>
307        !allBrSlotsVec(0).valid || new_br_offset < allBrSlotsVec(0).offset
308      case idx =>
309        allBrSlotsVec(idx-1).valid && new_br_offset > allBrSlotsVec(idx-1).offset &&
310        (!allBrSlotsVec(idx).valid || new_br_offset < allBrSlotsVec(idx).offset)
311    }
312  })
313
314  val old_entry_modified = WireInit(io.old_entry)
315  for (i <- 0 until numBr) {
316    val slot = old_entry_modified.allSlotsForBr(i)
317    when (new_br_insert_onehot(i)) {
318      slot.valid := true.B
319      slot.offset := new_br_offset
320      slot.setLowerStatByTarget(io.start_addr, io.target, i == numBr-1)
321      old_entry_modified.always_taken(i) := true.B
322    }.elsewhen (new_br_offset > oe.allSlotsForBr(i).offset) {
323      old_entry_modified.always_taken(i) := false.B
324      // all other fields remain unchanged
325    }.otherwise {
326      // case i == 0, remain unchanged
327      if (i != 0) {
328        val noNeedToMoveFromFormerSlot = (i == numBr-1).B && !oe.brSlots.last.valid
329        when (!noNeedToMoveFromFormerSlot) {
330          slot.fromAnotherSlot(oe.allSlotsForBr(i-1))
331          old_entry_modified.always_taken(i) := oe.always_taken(i)
332        }
333      }
334    }
335  }
336
337  // two circumstances:
338  // 1. oe: | br | j  |, new br should be in front of j, thus addr of j should be new pft
339  // 2. oe: | br | br |, new br could be anywhere between, thus new pft is the addr of either
340  //        the previous last br or the new br
341  val may_have_to_replace = oe.noEmptySlotForNewBr
342  val pft_need_to_change = is_new_br && may_have_to_replace
343  // it should either be the given last br or the new br
344  when (pft_need_to_change) {
345    val new_pft_offset =
346      Mux(!new_br_insert_onehot.asUInt.orR,
347        new_br_offset, oe.allSlotsForBr.last.offset)
348
349    // set jmp to invalid
350    old_entry_modified.pftAddr := getLower(io.start_addr) + new_pft_offset
351    old_entry_modified.carry := (getLower(io.start_addr) +& new_pft_offset).head(1).asBool
352    old_entry_modified.last_may_be_rvi_call := false.B
353    old_entry_modified.isCall := false.B
354    old_entry_modified.isRet := false.B
355    old_entry_modified.isJalr := false.B
356  }
357
358  val old_entry_jmp_target_modified = WireInit(oe)
359  val old_target = oe.tailSlot.getTarget(io.start_addr) // may be wrong because we store only 20 lowest bits
360  val old_tail_is_jmp = !oe.tailSlot.sharing
361  val jalr_target_modified = cfi_is_jalr && (old_target =/= io.target) && old_tail_is_jmp // TODO: pass full jalr target
362  when (jalr_target_modified) {
363    old_entry_jmp_target_modified.setByJmpTarget(io.start_addr, io.target)
364    old_entry_jmp_target_modified.always_taken := 0.U.asTypeOf(Vec(numBr, Bool()))
365  }
366
367  val old_entry_always_taken = WireInit(oe)
368  val always_taken_modified_vec = Wire(Vec(numBr, Bool())) // whether modified or not
369  for (i <- 0 until numBr) {
370    old_entry_always_taken.always_taken(i) :=
371      oe.always_taken(i) && io.cfiIndex.valid && oe.brValids(i) && io.cfiIndex.bits === oe.brOffset(i)
372    always_taken_modified_vec(i) := oe.always_taken(i) && !old_entry_always_taken.always_taken(i)
373  }
374  val always_taken_modified = always_taken_modified_vec.reduce(_||_)
375
376
377
378  val derived_from_old_entry =
379    Mux(is_new_br, old_entry_modified,
380      Mux(jalr_target_modified, old_entry_jmp_target_modified, old_entry_always_taken))
381
382
383  io.new_entry := Mux(!hit, init_entry, derived_from_old_entry)
384
385  io.new_br_insert_pos := new_br_insert_onehot
386  io.taken_mask := VecInit((io.new_entry.brOffset zip io.new_entry.brValids).map{
387    case (off, v) => io.cfiIndex.bits === off && io.cfiIndex.valid && v
388  })
389  for (i <- 0 until numBr) {
390    io.mispred_mask(i) := io.new_entry.brValids(i) && io.mispredict_vec(io.new_entry.brOffset(i))
391  }
392  io.mispred_mask.last := io.new_entry.jmpValid && io.mispredict_vec(pd.jmpOffset)
393
394  // for perf counters
395  io.is_init_entry := !hit
396  io.is_old_entry := hit && !is_new_br && !jalr_target_modified && !always_taken_modified
397  io.is_new_br := hit && is_new_br
398  io.is_jalr_target_modified := hit && jalr_target_modified
399  io.is_always_taken_modified := hit && always_taken_modified
400  io.is_br_full := hit && is_new_br && may_have_to_replace
401}
402
403class FtqPcMemWrapper(numOtherReads: Int)(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo {
404  val io = IO(new Bundle {
405    val ifuPtr_w       = Input(new FtqPtr)
406    val ifuPtrPlus1_w  = Input(new FtqPtr)
407    val ifuPtrPlus2_w  = Input(new FtqPtr)
408    val commPtr_w      = Input(new FtqPtr)
409    val commPtrPlus1_w = Input(new FtqPtr)
410    val ifuPtr_rdata       = Output(new Ftq_RF_Components)
411    val ifuPtrPlus1_rdata  = Output(new Ftq_RF_Components)
412    val ifuPtrPlus2_rdata  = Output(new Ftq_RF_Components)
413    val commPtr_rdata      = Output(new Ftq_RF_Components)
414    val commPtrPlus1_rdata = Output(new Ftq_RF_Components)
415
416    val other_raddrs = Input(Vec(numOtherReads, UInt(log2Ceil(FtqSize).W)))
417    val other_rdatas = Output(Vec(numOtherReads, new Ftq_RF_Components))
418
419    val wen = Input(Bool())
420    val waddr = Input(UInt(log2Ceil(FtqSize).W))
421    val wdata = Input(new Ftq_RF_Components)
422  })
423
424  val num_pc_read = numOtherReads + 5
425  val mem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize,
426    num_pc_read, 1, "FtqPC", concatData=false, Some(Seq.tabulate(num_pc_read)(i => false))))
427  mem.io.wen(0)   := io.wen
428  mem.io.waddr(0) := io.waddr
429  mem.io.wdata(0) := io.wdata
430
431  // read one cycle ahead for ftq local reads
432  val raddr_vec = VecInit(io.other_raddrs ++
433    Seq(io.ifuPtr_w.value, io.ifuPtrPlus1_w.value, io.ifuPtrPlus2_w.value, io.commPtrPlus1_w.value, io.commPtr_w.value))
434
435  mem.io.raddr := raddr_vec
436
437  io.other_rdatas       := mem.io.rdata.dropRight(5)
438  io.ifuPtr_rdata       := mem.io.rdata.dropRight(4).last
439  io.ifuPtrPlus1_rdata  := mem.io.rdata.dropRight(3).last
440  io.ifuPtrPlus2_rdata  := mem.io.rdata.dropRight(2).last
441  io.commPtrPlus1_rdata := mem.io.rdata.dropRight(1).last
442  io.commPtr_rdata      := mem.io.rdata.last
443}
444
445class Ftq(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper
446  with HasBackendRedirectInfo with BPUUtils with HasBPUConst with HasPerfEvents
447  with HasICacheParameters{
448  val io = IO(new Bundle {
449    val fromBpu = Flipped(new BpuToFtqIO)
450    val fromIfu = Flipped(new IfuToFtqIO)
451    val fromBackend = Flipped(new CtrlToFtqIO)
452
453    val toBpu = new FtqToBpuIO
454    val toIfu = new FtqToIfuIO
455    val toICache = new FtqToICacheIO
456    val toBackend = new FtqToCtrlIO
457
458    val toPrefetch = new FtqPrefechBundle
459
460    val bpuInfo = new Bundle {
461      val bpRight = Output(UInt(XLEN.W))
462      val bpWrong = Output(UInt(XLEN.W))
463    }
464  })
465  io.bpuInfo := DontCare
466
467  val backendRedirect = Wire(Valid(new Redirect))
468  val backendRedirectReg = RegNext(backendRedirect)
469
470  val stage2Flush = backendRedirect.valid
471  val backendFlush = stage2Flush || RegNext(stage2Flush)
472  val ifuFlush = Wire(Bool())
473
474  val flush = stage2Flush || RegNext(stage2Flush)
475
476  val allowBpuIn, allowToIfu = WireInit(false.B)
477  val flushToIfu = !allowToIfu
478  allowBpuIn := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
479  allowToIfu := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
480
481  val bpuPtr, ifuPtr, ifuWbPtr, commPtr = RegInit(FtqPtr(false.B, 0.U))
482  val ifuPtrPlus1 = RegInit(FtqPtr(false.B, 1.U))
483  val ifuPtrPlus2 = RegInit(FtqPtr(false.B, 2.U))
484  val commPtrPlus1 = RegInit(FtqPtr(false.B, 1.U))
485  require(FtqSize >= 4)
486  val ifuPtr_write       = WireInit(ifuPtr)
487  val ifuPtrPlus1_write  = WireInit(ifuPtrPlus1)
488  val ifuPtrPlus2_write  = WireInit(ifuPtrPlus2)
489  val ifuWbPtr_write     = WireInit(ifuWbPtr)
490  val commPtr_write      = WireInit(commPtr)
491  val commPtrPlus1_write = WireInit(commPtrPlus1)
492  ifuPtr       := ifuPtr_write
493  ifuPtrPlus1  := ifuPtrPlus1_write
494  ifuPtrPlus2  := ifuPtrPlus2_write
495  ifuWbPtr     := ifuWbPtr_write
496  commPtr      := commPtr_write
497  commPtrPlus1 := commPtr_write
498  val validEntries = distanceBetween(bpuPtr, commPtr)
499
500  // **********************************************************************
501  // **************************** enq from bpu ****************************
502  // **********************************************************************
503  val new_entry_ready = validEntries < FtqSize.U
504  io.fromBpu.resp.ready := new_entry_ready
505
506  val bpu_s2_resp = io.fromBpu.resp.bits.s2
507  val bpu_s3_resp = io.fromBpu.resp.bits.s3
508  val bpu_s2_redirect = bpu_s2_resp.valid && bpu_s2_resp.hasRedirect
509  val bpu_s3_redirect = bpu_s3_resp.valid && bpu_s3_resp.hasRedirect
510
511  io.toBpu.enq_ptr := bpuPtr
512  val enq_fire = io.fromBpu.resp.fire() && allowBpuIn // from bpu s1
513  val bpu_in_fire = (io.fromBpu.resp.fire() || bpu_s2_redirect || bpu_s3_redirect) && allowBpuIn
514
515  val bpu_in_resp = io.fromBpu.resp.bits.selectedResp
516  val bpu_in_stage = io.fromBpu.resp.bits.selectedRespIdx
517  val bpu_in_resp_ptr = Mux(bpu_in_stage === BP_S1, bpuPtr, bpu_in_resp.ftq_idx)
518  val bpu_in_resp_idx = bpu_in_resp_ptr.value
519
520  // read ports:      prefetchReq ++  ifuReq1 + ifuReq2 + ifuReq3 + commitUpdate2 + commitUpdate
521  val ftq_pc_mem = Module(new FtqPcMemWrapper(1))
522  // resp from uBTB
523  ftq_pc_mem.io.wen := bpu_in_fire
524  ftq_pc_mem.io.waddr := bpu_in_resp_idx
525  ftq_pc_mem.io.wdata.fromBranchPrediction(bpu_in_resp)
526
527  //                                                            ifuRedirect + backendRedirect + commit
528  val ftq_redirect_sram = Module(new FtqNRSRAM(new Ftq_Redirect_SRAMEntry, 1+1+1))
529  // these info is intended to enq at the last stage of bpu
530  ftq_redirect_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
531  ftq_redirect_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
532  ftq_redirect_sram.io.wdata.fromBranchPrediction(io.fromBpu.resp.bits.lastStage)
533  println(f"ftq redirect SRAM: entry ${ftq_redirect_sram.io.wdata.getWidth} * ${FtqSize} * 3")
534  println(f"ftq redirect SRAM: ahead fh ${ftq_redirect_sram.io.wdata.afhob.getWidth} * ${FtqSize} * 3")
535
536  val ftq_meta_1r_sram = Module(new FtqNRSRAM(new Ftq_1R_SRAMEntry, 1))
537  // these info is intended to enq at the last stage of bpu
538  ftq_meta_1r_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
539  ftq_meta_1r_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
540  ftq_meta_1r_sram.io.wdata.meta := io.fromBpu.resp.bits.meta
541  //                                                            ifuRedirect + backendRedirect + commit
542  val ftb_entry_mem = Module(new SyncDataModuleTemplate(new FTBEntry, FtqSize, 1+1+1, 1))
543  ftb_entry_mem.io.wen(0) := io.fromBpu.resp.bits.lastStage.valid
544  ftb_entry_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
545  ftb_entry_mem.io.wdata(0) := io.fromBpu.resp.bits.lastStage.ftb_entry
546
547
548  // multi-write
549  val update_target = Reg(Vec(FtqSize, UInt(VAddrBits.W))) // could be taken target or fallThrough //TODO: remove this
550  val newest_entry_target = Reg(UInt(VAddrBits.W))
551  val newest_entry_ptr = Reg(new FtqPtr)
552  val cfiIndex_vec = Reg(Vec(FtqSize, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))))
553  val mispredict_vec = Reg(Vec(FtqSize, Vec(PredictWidth, Bool())))
554  val pred_stage = Reg(Vec(FtqSize, UInt(2.W)))
555
556  val c_invalid :: c_valid :: c_commited :: Nil = Enum(3)
557  val commitStateQueue = RegInit(VecInit(Seq.fill(FtqSize) {
558    VecInit(Seq.fill(PredictWidth)(c_invalid))
559  }))
560
561  val f_to_send :: f_sent :: Nil = Enum(2)
562  val entry_fetch_status = RegInit(VecInit(Seq.fill(FtqSize)(f_sent)))
563
564  val h_not_hit :: h_false_hit :: h_hit :: Nil = Enum(3)
565  val entry_hit_status = RegInit(VecInit(Seq.fill(FtqSize)(h_not_hit)))
566
567  // modify registers one cycle later to cut critical path
568  val last_cycle_bpu_in = RegNext(bpu_in_fire)
569  val last_cycle_bpu_in_ptr = RegNext(bpu_in_resp_ptr)
570  val last_cycle_bpu_in_idx = last_cycle_bpu_in_ptr.value
571  val last_cycle_bpu_target = RegNext(bpu_in_resp.getTarget)
572  val last_cycle_cfiIndex = RegNext(bpu_in_resp.cfiIndex)
573  val last_cycle_bpu_in_stage = RegNext(bpu_in_stage)
574  when (last_cycle_bpu_in) {
575    entry_fetch_status(last_cycle_bpu_in_idx) := f_to_send
576    commitStateQueue(last_cycle_bpu_in_idx) := VecInit(Seq.fill(PredictWidth)(c_invalid))
577    cfiIndex_vec(last_cycle_bpu_in_idx) := last_cycle_cfiIndex
578    mispredict_vec(last_cycle_bpu_in_idx) := WireInit(VecInit(Seq.fill(PredictWidth)(false.B)))
579    pred_stage(last_cycle_bpu_in_idx) := last_cycle_bpu_in_stage
580
581    update_target(last_cycle_bpu_in_idx) := last_cycle_bpu_target // TODO: remove this
582    newest_entry_target := last_cycle_bpu_target
583    newest_entry_ptr := last_cycle_bpu_in_ptr
584  }
585
586  // num cycle is fixed
587  io.toBackend.newest_entry_ptr := RegNext(newest_entry_ptr)
588  io.toBackend.newest_entry_target := RegNext(newest_entry_target)
589
590
591  bpuPtr := bpuPtr + enq_fire
592  when (io.toIfu.req.fire && allowToIfu) {
593    ifuPtr_write := ifuPtrPlus1
594    ifuPtrPlus1_write := ifuPtrPlus2
595    ifuPtrPlus2_write := ifuPtrPlus2 + 1.U
596  }
597
598  // only use ftb result to assign hit status
599  when (bpu_s2_resp.valid) {
600    entry_hit_status(bpu_s2_resp.ftq_idx.value) := Mux(bpu_s2_resp.full_pred.hit, h_hit, h_not_hit)
601  }
602
603
604  io.toIfu.flushFromBpu.s2.valid := bpu_s2_redirect
605  io.toIfu.flushFromBpu.s2.bits := bpu_s2_resp.ftq_idx
606  when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect) {
607    bpuPtr := bpu_s2_resp.ftq_idx + 1.U
608    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
609    when (!isBefore(ifuPtr, bpu_s2_resp.ftq_idx)) {
610      ifuPtr_write := bpu_s2_resp.ftq_idx
611      ifuPtrPlus1_write := bpu_s2_resp.ftq_idx + 1.U
612      ifuPtrPlus2_write := bpu_s2_resp.ftq_idx + 2.U
613    }
614  }
615
616  io.toIfu.flushFromBpu.s3.valid := bpu_s3_redirect
617  io.toIfu.flushFromBpu.s3.bits := bpu_s3_resp.ftq_idx
618  when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect) {
619    bpuPtr := bpu_s3_resp.ftq_idx + 1.U
620    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
621    when (!isBefore(ifuPtr, bpu_s3_resp.ftq_idx)) {
622      ifuPtr_write := bpu_s3_resp.ftq_idx
623      ifuPtrPlus1_write := bpu_s3_resp.ftq_idx + 1.U
624      ifuPtrPlus2_write := bpu_s3_resp.ftq_idx + 2.U
625    }
626  }
627
628  XSError(isBefore(bpuPtr, ifuPtr) && !isFull(bpuPtr, ifuPtr), "\nifuPtr is before bpuPtr!\n")
629
630  // ****************************************************************
631  // **************************** to ifu ****************************
632  // ****************************************************************
633  // 0  for ifu, and 1-4 for ICache
634  val bpu_in_bypass_buf = VecInit(Seq.fill(5)(RegEnable(ftq_pc_mem.io.wdata, enable=bpu_in_fire)))
635  val bpu_in_bypass_buf_for_ifu = bpu_in_bypass_buf.head
636  val bpu_in_bypass_ptr = RegNext(bpu_in_resp_ptr)
637  val last_cycle_to_ifu_fire = RegNext(io.toIfu.req.fire)
638
639
640  // read pc and target
641  ftq_pc_mem.io.ifuPtr_w       := ifuPtr_write
642  ftq_pc_mem.io.ifuPtrPlus1_w  := ifuPtrPlus1_write
643  ftq_pc_mem.io.ifuPtrPlus2_w  := ifuPtrPlus2_write
644  ftq_pc_mem.io.commPtr_w      := commPtr_write
645  ftq_pc_mem.io.commPtrPlus1_w := commPtrPlus1_write
646
647
648  io.toIfu.req.bits.ftqIdx := ifuPtr
649
650  val toICachePcBundle = WireInit(ftq_pc_mem.io.ifuPtr_rdata)
651  val toIfuPcBundle = Wire(new Ftq_RF_Components)
652  val entry_is_to_send = WireInit(entry_fetch_status(ifuPtr.value) === f_to_send)
653  val entry_ftq_offset = WireInit(cfiIndex_vec(ifuPtr.value))
654  val entry_next_addr  = Wire(UInt(VAddrBits.W))
655  val diff_entry_next_addr = WireInit(update_target(ifuPtr.value)) //TODO: remove this
656
657  // TODO: reconsider target address bypass logic
658  when (last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) {
659    toIfuPcBundle := bpu_in_bypass_buf_for_ifu
660    entry_is_to_send := true.B
661    entry_next_addr := last_cycle_bpu_target
662    entry_ftq_offset := last_cycle_cfiIndex
663    diff_entry_next_addr := last_cycle_bpu_target // TODO: remove this
664  }.elsewhen (last_cycle_to_ifu_fire) {
665    toIfuPcBundle := RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata)
666    toICachePcBundle := ftq_pc_mem.io.ifuPtrPlus1_rdata
667    entry_is_to_send := RegNext(entry_fetch_status(ifuPtrPlus1.value) === f_to_send) ||
668                        RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1)) // reduce potential bubbles
669    entry_next_addr := Mux(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1),
670                          bpu_in_bypass_buf_for_ifu.startAddr,
671                          Mux(isFull(ifuPtrPlus1, commPtr),
672                            newest_entry_target,
673                            ftq_pc_mem.io.ifuPtrPlus2_rdata.startAddr)) // ifuPtr+2
674  }.otherwise {
675    toIfuPcBundle := RegNext(ftq_pc_mem.io.ifuPtr_rdata)
676    //toICachePcBundle := ftq_pc_mem.io.ifuPtr_rdata
677    entry_is_to_send := RegNext(entry_fetch_status(ifuPtr.value) === f_to_send)
678    entry_next_addr := Mux(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1),
679                          bpu_in_bypass_buf_for_ifu.startAddr,
680                          Mux(isFull(ifuPtrPlus1, commPtr),
681                            newest_entry_target,
682                            ftq_pc_mem.io.ifuPtrPlus1_rdata.startAddr)) // ifuPtr+1
683  }
684
685  io.toIfu.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
686  io.toIfu.req.bits.nextStartAddr := entry_next_addr
687  io.toIfu.req.bits.ftqOffset := entry_ftq_offset
688  io.toIfu.req.bits.fromFtqPcBundle(toIfuPcBundle)
689
690  io.toICache.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
691  io.toICache.req.bits.fromFtqPcBundle(toICachePcBundle)
692  io.toICache.req.bits.bypassSelect := last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr
693  io.toICache.req.bits.bpuBypassWrite.zipWithIndex.map{case(bypassWrtie, i) =>
694    bypassWrtie.startAddr := bpu_in_bypass_buf.tail(i).startAddr
695    bypassWrtie.nextlineStart := bpu_in_bypass_buf.tail(i).nextLineAddr
696  }
697
698  // TODO: remove this
699  XSError(io.toIfu.req.valid && diff_entry_next_addr =/= entry_next_addr,
700          p"\nifu_req_target wrong! ifuPtr: ${ifuPtr}, entry_next_addr: ${Hexadecimal(entry_next_addr)} diff_entry_next_addr: ${Hexadecimal(diff_entry_next_addr)}\n")
701
702  // when fall through is smaller in value than start address, there must be a false hit
703  when (toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit) {
704    when (io.toIfu.req.fire &&
705      !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) &&
706      !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr)
707    ) {
708      entry_hit_status(ifuPtr.value) := h_false_hit
709      // XSError(true.B, "FTB false hit by fallThroughError, startAddr: %x, fallTHru: %x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
710    }
711    XSDebug(true.B, "fallThruError! start:%x, fallThru:%x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
712  }
713
714  XSPerfAccumulate(f"fall_through_error_to_ifu", toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit &&
715    io.toIfu.req.fire && !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) && !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr))
716
717  val ifu_req_should_be_flushed =
718    io.toIfu.flushFromBpu.shouldFlushByStage2(io.toIfu.req.bits.ftqIdx) ||
719    io.toIfu.flushFromBpu.shouldFlushByStage3(io.toIfu.req.bits.ftqIdx)
720
721    when (io.toIfu.req.fire && !ifu_req_should_be_flushed) {
722      entry_fetch_status(ifuPtr.value) := f_sent
723    }
724
725  // *********************************************************************
726  // **************************** wb from ifu ****************************
727  // *********************************************************************
728  val pdWb = io.fromIfu.pdWb
729  val pds = pdWb.bits.pd
730  val ifu_wb_valid = pdWb.valid
731  val ifu_wb_idx = pdWb.bits.ftqIdx.value
732  // read ports:                                                         commit update
733  val ftq_pd_mem = Module(new SyncDataModuleTemplate(new Ftq_pd_Entry, FtqSize, 1, 1))
734  ftq_pd_mem.io.wen(0) := ifu_wb_valid
735  ftq_pd_mem.io.waddr(0) := pdWb.bits.ftqIdx.value
736  ftq_pd_mem.io.wdata(0).fromPdWb(pdWb.bits)
737
738  val hit_pd_valid = entry_hit_status(ifu_wb_idx) === h_hit && ifu_wb_valid
739  val hit_pd_mispred = hit_pd_valid && pdWb.bits.misOffset.valid
740  val hit_pd_mispred_reg = RegNext(hit_pd_mispred, init=false.B)
741  val pd_reg       = RegEnable(pds,             pdWb.valid)
742  val start_pc_reg = RegEnable(pdWb.bits.pc(0), pdWb.valid)
743  val wb_idx_reg   = RegEnable(ifu_wb_idx,      pdWb.valid)
744
745  when (ifu_wb_valid) {
746    val comm_stq_wen = VecInit(pds.map(_.valid).zip(pdWb.bits.instrRange).map{
747      case (v, inRange) => v && inRange
748    })
749    (commitStateQueue(ifu_wb_idx) zip comm_stq_wen).map{
750      case (qe, v) => when (v) { qe := c_valid }
751    }
752  }
753
754  when (ifu_wb_valid) {
755    ifuWbPtr_write := ifuWbPtr + 1.U
756  }
757
758  ftb_entry_mem.io.raddr.head := ifu_wb_idx
759  val has_false_hit = WireInit(false.B)
760  when (RegNext(hit_pd_valid)) {
761    // check for false hit
762    val pred_ftb_entry = ftb_entry_mem.io.rdata.head
763    val brSlots = pred_ftb_entry.brSlots
764    val tailSlot = pred_ftb_entry.tailSlot
765    // we check cfis that bpu predicted
766
767    // bpu predicted branches but denied by predecode
768    val br_false_hit =
769      brSlots.map{
770        s => s.valid && !(pd_reg(s.offset).valid && pd_reg(s.offset).isBr)
771      }.reduce(_||_) ||
772      (tailSlot.valid && pred_ftb_entry.tailSlot.sharing &&
773        !(pd_reg(tailSlot.offset).valid && pd_reg(tailSlot.offset).isBr))
774
775    val jmpOffset = tailSlot.offset
776    val jmp_pd = pd_reg(jmpOffset)
777    val jal_false_hit = pred_ftb_entry.jmpValid &&
778      ((pred_ftb_entry.isJal  && !(jmp_pd.valid && jmp_pd.isJal)) ||
779       (pred_ftb_entry.isJalr && !(jmp_pd.valid && jmp_pd.isJalr)) ||
780       (pred_ftb_entry.isCall && !(jmp_pd.valid && jmp_pd.isCall)) ||
781       (pred_ftb_entry.isRet  && !(jmp_pd.valid && jmp_pd.isRet))
782      )
783
784    has_false_hit := br_false_hit || jal_false_hit || hit_pd_mispred_reg
785    XSDebug(has_false_hit, "FTB false hit by br or jal or hit_pd, startAddr: %x\n", pdWb.bits.pc(0))
786
787    // assert(!has_false_hit)
788  }
789
790  when (has_false_hit) {
791    entry_hit_status(wb_idx_reg) := h_false_hit
792  }
793
794
795  // **********************************************************************
796  // ***************************** to backend *****************************
797  // **********************************************************************
798  // to backend pc mem / target
799  io.toBackend.pc_mem_wen   := RegNext(last_cycle_bpu_in)
800  io.toBackend.pc_mem_waddr := RegNext(last_cycle_bpu_in_idx)
801  io.toBackend.pc_mem_wdata := RegNext(bpu_in_bypass_buf_for_ifu)
802
803  // *******************************************************************************
804  // **************************** redirect from backend ****************************
805  // *******************************************************************************
806
807  // redirect read cfiInfo, couples to redirectGen s2
808  ftq_redirect_sram.io.ren.init.last := backendRedirect.valid
809  ftq_redirect_sram.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
810
811  ftb_entry_mem.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
812
813  val stage3CfiInfo = ftq_redirect_sram.io.rdata.init.last
814  val fromBackendRedirect = WireInit(backendRedirectReg)
815  val backendRedirectCfi = fromBackendRedirect.bits.cfiUpdate
816  backendRedirectCfi.fromFtqRedirectSram(stage3CfiInfo)
817
818  val r_ftb_entry = ftb_entry_mem.io.rdata.init.last
819  val r_ftqOffset = fromBackendRedirect.bits.ftqOffset
820
821  when (entry_hit_status(fromBackendRedirect.bits.ftqIdx.value) === h_hit) {
822    backendRedirectCfi.shift := PopCount(r_ftb_entry.getBrMaskByOffset(r_ftqOffset)) +&
823      (backendRedirectCfi.pd.isBr && !r_ftb_entry.brIsSaved(r_ftqOffset) &&
824      !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
825
826    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr && (r_ftb_entry.brIsSaved(r_ftqOffset) ||
827        !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
828  }.otherwise {
829    backendRedirectCfi.shift := (backendRedirectCfi.pd.isBr && backendRedirectCfi.taken).asUInt
830    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr.asUInt
831  }
832
833
834  // ***************************************************************************
835  // **************************** redirect from ifu ****************************
836  // ***************************************************************************
837  val fromIfuRedirect = WireInit(0.U.asTypeOf(Valid(new Redirect)))
838  fromIfuRedirect.valid := pdWb.valid && pdWb.bits.misOffset.valid && !backendFlush
839  fromIfuRedirect.bits.ftqIdx := pdWb.bits.ftqIdx
840  fromIfuRedirect.bits.ftqOffset := pdWb.bits.misOffset.bits
841  fromIfuRedirect.bits.level := RedirectLevel.flushAfter
842
843  val ifuRedirectCfiUpdate = fromIfuRedirect.bits.cfiUpdate
844  ifuRedirectCfiUpdate.pc := pdWb.bits.pc(pdWb.bits.misOffset.bits)
845  ifuRedirectCfiUpdate.pd := pdWb.bits.pd(pdWb.bits.misOffset.bits)
846  ifuRedirectCfiUpdate.predTaken := cfiIndex_vec(pdWb.bits.ftqIdx.value).valid
847  ifuRedirectCfiUpdate.target := pdWb.bits.target
848  ifuRedirectCfiUpdate.taken := pdWb.bits.cfiOffset.valid
849  ifuRedirectCfiUpdate.isMisPred := pdWb.bits.misOffset.valid
850
851  val ifuRedirectReg = RegNext(fromIfuRedirect, init=0.U.asTypeOf(Valid(new Redirect)))
852  val ifuRedirectToBpu = WireInit(ifuRedirectReg)
853  ifuFlush := fromIfuRedirect.valid || ifuRedirectToBpu.valid
854
855  ftq_redirect_sram.io.ren.head := fromIfuRedirect.valid
856  ftq_redirect_sram.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
857
858  ftb_entry_mem.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
859
860  val toBpuCfi = ifuRedirectToBpu.bits.cfiUpdate
861  toBpuCfi.fromFtqRedirectSram(ftq_redirect_sram.io.rdata.head)
862  when (ifuRedirectReg.bits.cfiUpdate.pd.isRet) {
863    toBpuCfi.target := toBpuCfi.rasEntry.retAddr
864  }
865
866  // *********************************************************************
867  // **************************** wb from exu ****************************
868  // *********************************************************************
869
870  backendRedirect := io.fromBackend.redirect
871
872  def extractRedirectInfo(wb: Valid[Redirect]) = {
873    val ftqPtr = wb.bits.ftqIdx
874    val ftqOffset = wb.bits.ftqOffset
875    val taken = wb.bits.cfiUpdate.taken
876    val mispred = wb.bits.cfiUpdate.isMisPred
877    (wb.valid, ftqPtr, ftqOffset, taken, mispred)
878  }
879
880  // fix mispredict entry
881  val lastIsMispredict = RegNext(
882    backendRedirect.valid && backendRedirect.bits.level === RedirectLevel.flushAfter, init = false.B
883  )
884
885  def updateCfiInfo(redirect: Valid[Redirect], isBackend: Boolean = true) = {
886    val (r_valid, r_ptr, r_offset, r_taken, r_mispred) = extractRedirectInfo(redirect)
887    val r_idx = r_ptr.value
888    val cfiIndex_bits_wen = r_valid && r_taken && r_offset < cfiIndex_vec(r_idx).bits
889    val cfiIndex_valid_wen = r_valid && r_offset === cfiIndex_vec(r_idx).bits
890    when (cfiIndex_bits_wen || cfiIndex_valid_wen) {
891      cfiIndex_vec(r_idx).valid := cfiIndex_bits_wen || cfiIndex_valid_wen && r_taken
892    }
893    when (cfiIndex_bits_wen) {
894      cfiIndex_vec(r_idx).bits := r_offset
895    }
896    newest_entry_target := redirect.bits.cfiUpdate.target
897    newest_entry_ptr := r_ptr
898    update_target(r_idx) := redirect.bits.cfiUpdate.target // TODO: remove this
899    if (isBackend) {
900      mispredict_vec(r_idx)(r_offset) := r_mispred
901    }
902  }
903
904  when(backendRedirectReg.valid && lastIsMispredict) {
905    updateCfiInfo(backendRedirectReg)
906  }.elsewhen (ifuRedirectToBpu.valid) {
907    updateCfiInfo(ifuRedirectToBpu, isBackend=false)
908  }
909
910  // ***********************************************************************************
911  // **************************** flush ptr and state queue ****************************
912  // ***********************************************************************************
913
914  val redirectVec = VecInit(backendRedirect, fromIfuRedirect)
915
916  // when redirect, we should reset ptrs and status queues
917  when(redirectVec.map(r => r.valid).reduce(_||_)){
918    val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
919    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
920    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
921    val next = idx + 1.U
922    bpuPtr := next
923    ifuPtr_write := next
924    ifuWbPtr_write := next
925    ifuPtrPlus1_write := idx + 2.U
926    ifuPtrPlus2_write := idx + 3.U
927    when (notIfu) {
928      commitStateQueue(idx.value).zipWithIndex.foreach({ case (s, i) =>
929        when(i.U > offset || i.U === offset && flushItSelf){
930          s := c_invalid
931        }
932      })
933    }
934  }
935
936  // only the valid bit is actually needed
937  io.toIfu.redirect.bits    := backendRedirect.bits
938  io.toIfu.redirect.valid   := stage2Flush
939
940  // commit
941  for (c <- io.fromBackend.rob_commits) {
942    when(c.valid) {
943      commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset) := c_commited
944      // TODO: remove this
945      // For instruction fusions, we also update the next instruction
946      when (c.bits.commitType === 4.U) {
947        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 1.U) := c_commited
948      }.elsewhen(c.bits.commitType === 5.U) {
949        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 2.U) := c_commited
950      }.elsewhen(c.bits.commitType === 6.U) {
951        val index = (c.bits.ftqIdx + 1.U).value
952        commitStateQueue(index)(0) := c_commited
953      }.elsewhen(c.bits.commitType === 7.U) {
954        val index = (c.bits.ftqIdx + 1.U).value
955        commitStateQueue(index)(1) := c_commited
956      }
957    }
958  }
959
960  // ****************************************************************
961  // **************************** to bpu ****************************
962  // ****************************************************************
963
964  io.toBpu.redirect <> Mux(fromBackendRedirect.valid, fromBackendRedirect, ifuRedirectToBpu)
965
966  val may_have_stall_from_bpu = Wire(Bool())
967  val bpu_ftb_update_stall = RegInit(0.U(2.W)) // 2-cycle stall, so we need 3 states
968  may_have_stall_from_bpu := bpu_ftb_update_stall =/= 0.U
969  val canCommit = commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
970    Cat(commitStateQueue(commPtr.value).map(s => {
971      s === c_invalid || s === c_commited
972    })).andR()
973
974  // commit reads
975  val commit_pc_bundle = RegNext(ftq_pc_mem.io.commPtr_rdata)
976  val commit_target = RegNext(ftq_pc_mem.io.commPtrPlus1_rdata.startAddr)
977  ftq_pd_mem.io.raddr.last := commPtr.value
978  val commit_pd = ftq_pd_mem.io.rdata.last
979  ftq_redirect_sram.io.ren.last := canCommit
980  ftq_redirect_sram.io.raddr.last := commPtr.value
981  val commit_spec_meta = ftq_redirect_sram.io.rdata.last
982  ftq_meta_1r_sram.io.ren(0) := canCommit
983  ftq_meta_1r_sram.io.raddr(0) := commPtr.value
984  val commit_meta = ftq_meta_1r_sram.io.rdata(0)
985  ftb_entry_mem.io.raddr.last := commPtr.value
986  val commit_ftb_entry = ftb_entry_mem.io.rdata.last
987
988  // need one cycle to read mem and srams
989  val do_commit_ptr = RegNext(commPtr)
990  val do_commit = RegNext(canCommit, init=false.B)
991  when (canCommit) {
992    commPtr_write := commPtrPlus1
993    commPtrPlus1_write := commPtrPlus1 + 1.U
994  }
995  val commit_state = RegNext(commitStateQueue(commPtr.value))
996  val can_commit_cfi = WireInit(cfiIndex_vec(commPtr.value))
997  when (commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited) {
998    can_commit_cfi.valid := false.B
999  }
1000  val commit_cfi = RegNext(can_commit_cfi)
1001
1002  val commit_mispredict = VecInit((RegNext(mispredict_vec(commPtr.value)) zip commit_state).map {
1003    case (mis, state) => mis && state === c_commited
1004  })
1005  val can_commit_hit = entry_hit_status(commPtr.value)
1006  val commit_hit = RegNext(can_commit_hit)
1007  val diff_commit_target = RegNext(update_target(commPtr.value)) // TODO: remove this
1008  val commit_stage = RegNext(pred_stage(commPtr.value))
1009  val commit_valid = commit_hit === h_hit || commit_cfi.valid // hit or taken
1010
1011  val to_bpu_hit = can_commit_hit === h_hit || can_commit_hit === h_false_hit
1012  switch (bpu_ftb_update_stall) {
1013    is (0.U) {
1014      when (can_commit_cfi.valid && !to_bpu_hit && canCommit) {
1015        bpu_ftb_update_stall := 2.U // 2-cycle stall
1016      }
1017    }
1018    is (2.U) {
1019      bpu_ftb_update_stall := 1.U
1020    }
1021    is (1.U) {
1022      bpu_ftb_update_stall := 0.U
1023    }
1024    is (3.U) {
1025      XSError(true.B, "bpu_ftb_update_stall should be 0, 1 or 2")
1026    }
1027  }
1028
1029  // TODO: remove this
1030  XSError(do_commit && diff_commit_target =/= commit_target, "\ncommit target should be the same as update target\n")
1031
1032  io.toBpu.update := DontCare
1033  io.toBpu.update.valid := commit_valid && do_commit
1034  val update = io.toBpu.update.bits
1035  update.false_hit   := commit_hit === h_false_hit
1036  update.pc          := commit_pc_bundle.startAddr
1037  update.meta        := commit_meta.meta
1038  update.full_target := commit_target
1039  update.from_stage  := commit_stage
1040  update.fromFtqRedirectSram(commit_spec_meta)
1041
1042  val commit_real_hit = commit_hit === h_hit
1043  val update_ftb_entry = update.ftb_entry
1044
1045  val ftbEntryGen = Module(new FTBEntryGen).io
1046  ftbEntryGen.start_addr     := commit_pc_bundle.startAddr
1047  ftbEntryGen.old_entry      := commit_ftb_entry
1048  ftbEntryGen.pd             := commit_pd
1049  ftbEntryGen.cfiIndex       := commit_cfi
1050  ftbEntryGen.target         := commit_target
1051  ftbEntryGen.hit            := commit_real_hit
1052  ftbEntryGen.mispredict_vec := commit_mispredict
1053
1054  update_ftb_entry         := ftbEntryGen.new_entry
1055  update.new_br_insert_pos := ftbEntryGen.new_br_insert_pos
1056  update.mispred_mask      := ftbEntryGen.mispred_mask
1057  update.old_entry         := ftbEntryGen.is_old_entry
1058  update.pred_hit          := commit_hit === h_hit || commit_hit === h_false_hit
1059
1060  update.is_minimal := false.B
1061  update.full_pred.fromFtbEntry(ftbEntryGen.new_entry, update.pc)
1062  update.full_pred.br_taken_mask  := ftbEntryGen.taken_mask
1063  update.full_pred.jalr_target := commit_target
1064  update.full_pred.hit := true.B
1065  when (update.full_pred.is_jalr) {
1066    update.full_pred.targets.last := commit_target
1067  }
1068
1069  // ****************************************************************
1070  // *********************** to prefetch ****************************
1071  // ****************************************************************
1072
1073  ftq_pc_mem.io.other_raddrs(0) := DontCare
1074  if(cacheParams.hasPrefetch){
1075    val prefetchPtr = RegInit(FtqPtr(false.B, 0.U))
1076    val diff_prefetch_addr = WireInit(update_target(prefetchPtr.value)) //TODO: remove this
1077
1078    prefetchPtr := prefetchPtr + io.toPrefetch.req.fire()
1079
1080    ftq_pc_mem.io.other_raddrs(0) := prefetchPtr.value
1081
1082    when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s2_resp.ftq_idx)) {
1083      prefetchPtr := bpu_s2_resp.ftq_idx
1084    }
1085
1086    when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s3_resp.ftq_idx)) {
1087      prefetchPtr := bpu_s3_resp.ftq_idx
1088      // XSError(true.B, "\ns3_redirect mechanism not implemented!\n")
1089    }
1090
1091
1092    val prefetch_is_to_send = WireInit(entry_fetch_status(prefetchPtr.value) === f_to_send)
1093    val prefetch_addr = WireInit( ftq_pc_mem.io.other_rdatas(0).startAddr)
1094
1095    when (last_cycle_bpu_in && bpu_in_bypass_ptr === prefetchPtr) {
1096      prefetch_is_to_send := true.B
1097      prefetch_addr := last_cycle_bpu_target
1098      diff_prefetch_addr := last_cycle_bpu_target // TODO: remove this
1099    }
1100    io.toPrefetch.req.valid := prefetchPtr =/= bpuPtr && prefetch_is_to_send
1101    io.toPrefetch.req.bits.target := prefetch_addr
1102
1103    when(redirectVec.map(r => r.valid).reduce(_||_)){
1104      val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
1105      val next = r.ftqIdx + 1.U
1106      prefetchPtr := next
1107    }
1108
1109    // TODO: remove this
1110    // XSError(io.toPrefetch.req.valid && diff_prefetch_addr =/= prefetch_addr,
1111    //         f"\nprefetch_req_target wrong! prefetchPtr: ${prefetchPtr}, prefetch_addr: ${Hexadecimal(prefetch_addr)} diff_prefetch_addr: ${Hexadecimal(diff_prefetch_addr)}\n")
1112
1113
1114    XSError(isBefore(bpuPtr, prefetchPtr) && !isFull(bpuPtr, prefetchPtr), "\nprefetchPtr is before bpuPtr!\n")
1115    XSError(isBefore(prefetchPtr, ifuPtr) && !isFull(ifuPtr, prefetchPtr), "\nifuPtr is before prefetchPtr!\n")
1116  }
1117  else {
1118    io.toPrefetch.req <> DontCare
1119  }
1120
1121  // ******************************************************************************
1122  // **************************** commit perf counters ****************************
1123  // ******************************************************************************
1124
1125  val commit_inst_mask    = VecInit(commit_state.map(c => c === c_commited && do_commit)).asUInt
1126  val commit_mispred_mask = commit_mispredict.asUInt
1127  val commit_not_mispred_mask = ~commit_mispred_mask
1128
1129  val commit_br_mask = commit_pd.brMask.asUInt
1130  val commit_jmp_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.jmpInfo.valid.asTypeOf(UInt(1.W)))
1131  val commit_cfi_mask = (commit_br_mask | commit_jmp_mask)
1132
1133  val mbpInstrs = commit_inst_mask & commit_cfi_mask
1134
1135  val mbpRights = mbpInstrs & commit_not_mispred_mask
1136  val mbpWrongs = mbpInstrs & commit_mispred_mask
1137
1138  io.bpuInfo.bpRight := PopCount(mbpRights)
1139  io.bpuInfo.bpWrong := PopCount(mbpWrongs)
1140
1141  // Cfi Info
1142  for (i <- 0 until PredictWidth) {
1143    val pc = commit_pc_bundle.startAddr + (i * instBytes).U
1144    val v = commit_state(i) === c_commited
1145    val isBr = commit_pd.brMask(i)
1146    val isJmp = commit_pd.jmpInfo.valid && commit_pd.jmpOffset === i.U
1147    val isCfi = isBr || isJmp
1148    val isTaken = commit_cfi.valid && commit_cfi.bits === i.U
1149    val misPred = commit_mispredict(i)
1150    // val ghist = commit_spec_meta.ghist.predHist
1151    val histPtr = commit_spec_meta.histPtr
1152    val predCycle = commit_meta.meta(63, 0)
1153    val target = commit_target
1154
1155    val brIdx = OHToUInt(Reverse(Cat(update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U})))
1156    val inFtbEntry = update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U}.reduce(_||_)
1157    val addIntoHist = ((commit_hit === h_hit) && inFtbEntry) || ((!(commit_hit === h_hit) && i.U === commit_cfi.bits && isBr && commit_cfi.valid))
1158    XSDebug(v && do_commit && isCfi, p"cfi_update: isBr(${isBr}) pc(${Hexadecimal(pc)}) " +
1159    p"taken(${isTaken}) mispred(${misPred}) cycle($predCycle) hist(${histPtr.value}) " +
1160    p"startAddr(${Hexadecimal(commit_pc_bundle.startAddr)}) AddIntoHist(${addIntoHist}) " +
1161    p"brInEntry(${inFtbEntry}) brIdx(${brIdx}) target(${Hexadecimal(target)})\n")
1162  }
1163
1164  val enq = io.fromBpu.resp
1165  val perf_redirect = backendRedirect
1166
1167  XSPerfAccumulate("entry", validEntries)
1168  XSPerfAccumulate("bpu_to_ftq_stall", enq.valid && !enq.ready)
1169  XSPerfAccumulate("mispredictRedirect", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level)
1170  XSPerfAccumulate("replayRedirect", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level))
1171  XSPerfAccumulate("predecodeRedirect", fromIfuRedirect.valid)
1172
1173  XSPerfAccumulate("to_ifu_bubble", io.toIfu.req.ready && !io.toIfu.req.valid)
1174
1175  XSPerfAccumulate("to_ifu_stall", io.toIfu.req.valid && !io.toIfu.req.ready)
1176  XSPerfAccumulate("from_bpu_real_bubble", !enq.valid && enq.ready && allowBpuIn)
1177  XSPerfAccumulate("bpu_to_ifu_bubble", bpuPtr === ifuPtr)
1178
1179  val from_bpu = io.fromBpu.resp.bits
1180  def in_entry_len_map_gen(resp: BranchPredictionBundle)(stage: String) = {
1181    assert(!resp.is_minimal)
1182    val entry_len = (resp.ftb_entry.getFallThrough(resp.pc) - resp.pc) >> instOffsetBits
1183    val entry_len_recording_vec = (1 to PredictWidth+1).map(i => entry_len === i.U)
1184    val entry_len_map = (1 to PredictWidth+1).map(i =>
1185      f"${stage}_ftb_entry_len_$i" -> (entry_len_recording_vec(i-1) && resp.valid)
1186    ).foldLeft(Map[String, UInt]())(_+_)
1187    entry_len_map
1188  }
1189  val s2_entry_len_map = in_entry_len_map_gen(from_bpu.s2)("s2")
1190  val s3_entry_len_map = in_entry_len_map_gen(from_bpu.s3)("s3")
1191
1192  val to_ifu = io.toIfu.req.bits
1193
1194
1195
1196  val commit_num_inst_recording_vec = (1 to PredictWidth).map(i => PopCount(commit_inst_mask) === i.U)
1197  val commit_num_inst_map = (1 to PredictWidth).map(i =>
1198    f"commit_num_inst_$i" -> (commit_num_inst_recording_vec(i-1) && do_commit)
1199  ).foldLeft(Map[String, UInt]())(_+_)
1200
1201
1202
1203  val commit_jal_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJal.asTypeOf(UInt(1.W)))
1204  val commit_jalr_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJalr.asTypeOf(UInt(1.W)))
1205  val commit_call_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasCall.asTypeOf(UInt(1.W)))
1206  val commit_ret_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasRet.asTypeOf(UInt(1.W)))
1207
1208
1209  val mbpBRights = mbpRights & commit_br_mask
1210  val mbpJRights = mbpRights & commit_jal_mask
1211  val mbpIRights = mbpRights & commit_jalr_mask
1212  val mbpCRights = mbpRights & commit_call_mask
1213  val mbpRRights = mbpRights & commit_ret_mask
1214
1215  val mbpBWrongs = mbpWrongs & commit_br_mask
1216  val mbpJWrongs = mbpWrongs & commit_jal_mask
1217  val mbpIWrongs = mbpWrongs & commit_jalr_mask
1218  val mbpCWrongs = mbpWrongs & commit_call_mask
1219  val mbpRWrongs = mbpWrongs & commit_ret_mask
1220
1221  val commit_pred_stage = RegNext(pred_stage(commPtr.value))
1222
1223  def pred_stage_map(src: UInt, name: String) = {
1224    (0 until numBpStages).map(i =>
1225      f"${name}_stage_${i+1}" -> PopCount(src.asBools.map(_ && commit_pred_stage === BP_STAGES(i)))
1226    ).foldLeft(Map[String, UInt]())(_+_)
1227  }
1228
1229  val mispred_stage_map      = pred_stage_map(mbpWrongs,  "mispredict")
1230  val br_mispred_stage_map   = pred_stage_map(mbpBWrongs, "br_mispredict")
1231  val jalr_mispred_stage_map = pred_stage_map(mbpIWrongs, "jalr_mispredict")
1232  val correct_stage_map      = pred_stage_map(mbpRights,  "correct")
1233  val br_correct_stage_map   = pred_stage_map(mbpBRights, "br_correct")
1234  val jalr_correct_stage_map = pred_stage_map(mbpIRights, "jalr_correct")
1235
1236  val update_valid = io.toBpu.update.valid
1237  def u(cond: Bool) = update_valid && cond
1238  val ftb_false_hit = u(update.false_hit)
1239  // assert(!ftb_false_hit)
1240  val ftb_hit = u(commit_hit === h_hit)
1241
1242  val ftb_new_entry = u(ftbEntryGen.is_init_entry)
1243  val ftb_new_entry_only_br = ftb_new_entry && !update_ftb_entry.jmpValid
1244  val ftb_new_entry_only_jmp = ftb_new_entry && !update_ftb_entry.brValids(0)
1245  val ftb_new_entry_has_br_and_jmp = ftb_new_entry && update_ftb_entry.brValids(0) && update_ftb_entry.jmpValid
1246
1247  val ftb_old_entry = u(ftbEntryGen.is_old_entry)
1248
1249  val ftb_modified_entry = u(ftbEntryGen.is_new_br || ftbEntryGen.is_jalr_target_modified || ftbEntryGen.is_always_taken_modified)
1250  val ftb_modified_entry_new_br = u(ftbEntryGen.is_new_br)
1251  val ftb_modified_entry_jalr_target_modified = u(ftbEntryGen.is_jalr_target_modified)
1252  val ftb_modified_entry_br_full = ftb_modified_entry && ftbEntryGen.is_br_full
1253  val ftb_modified_entry_always_taken = ftb_modified_entry && ftbEntryGen.is_always_taken_modified
1254
1255  val ftb_entry_len = (ftbEntryGen.new_entry.getFallThrough(update.pc) - update.pc) >> instOffsetBits
1256  val ftb_entry_len_recording_vec = (1 to PredictWidth+1).map(i => ftb_entry_len === i.U)
1257  val ftb_init_entry_len_map = (1 to PredictWidth+1).map(i =>
1258    f"ftb_init_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_new_entry)
1259  ).foldLeft(Map[String, UInt]())(_+_)
1260  val ftb_modified_entry_len_map = (1 to PredictWidth+1).map(i =>
1261    f"ftb_modified_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_modified_entry)
1262  ).foldLeft(Map[String, UInt]())(_+_)
1263
1264  val ftq_occupancy_map = (0 to FtqSize).map(i =>
1265    f"ftq_has_entry_$i" ->( validEntries === i.U)
1266  ).foldLeft(Map[String, UInt]())(_+_)
1267
1268  val perfCountsMap = Map(
1269    "BpInstr" -> PopCount(mbpInstrs),
1270    "BpBInstr" -> PopCount(mbpBRights | mbpBWrongs),
1271    "BpRight"  -> PopCount(mbpRights),
1272    "BpWrong"  -> PopCount(mbpWrongs),
1273    "BpBRight" -> PopCount(mbpBRights),
1274    "BpBWrong" -> PopCount(mbpBWrongs),
1275    "BpJRight" -> PopCount(mbpJRights),
1276    "BpJWrong" -> PopCount(mbpJWrongs),
1277    "BpIRight" -> PopCount(mbpIRights),
1278    "BpIWrong" -> PopCount(mbpIWrongs),
1279    "BpCRight" -> PopCount(mbpCRights),
1280    "BpCWrong" -> PopCount(mbpCWrongs),
1281    "BpRRight" -> PopCount(mbpRRights),
1282    "BpRWrong" -> PopCount(mbpRWrongs),
1283
1284    "ftb_false_hit"                -> PopCount(ftb_false_hit),
1285    "ftb_hit"                      -> PopCount(ftb_hit),
1286    "ftb_new_entry"                -> PopCount(ftb_new_entry),
1287    "ftb_new_entry_only_br"        -> PopCount(ftb_new_entry_only_br),
1288    "ftb_new_entry_only_jmp"       -> PopCount(ftb_new_entry_only_jmp),
1289    "ftb_new_entry_has_br_and_jmp" -> PopCount(ftb_new_entry_has_br_and_jmp),
1290    "ftb_old_entry"                -> PopCount(ftb_old_entry),
1291    "ftb_modified_entry"           -> PopCount(ftb_modified_entry),
1292    "ftb_modified_entry_new_br"    -> PopCount(ftb_modified_entry_new_br),
1293    "ftb_jalr_target_modified"     -> PopCount(ftb_modified_entry_jalr_target_modified),
1294    "ftb_modified_entry_br_full"   -> PopCount(ftb_modified_entry_br_full),
1295    "ftb_modified_entry_always_taken" -> PopCount(ftb_modified_entry_always_taken)
1296  ) ++ ftb_init_entry_len_map ++ ftb_modified_entry_len_map ++ s2_entry_len_map ++
1297  s3_entry_len_map ++ commit_num_inst_map ++ ftq_occupancy_map ++
1298  mispred_stage_map ++ br_mispred_stage_map ++ jalr_mispred_stage_map ++
1299  correct_stage_map ++ br_correct_stage_map ++ jalr_correct_stage_map
1300
1301  for((key, value) <- perfCountsMap) {
1302    XSPerfAccumulate(key, value)
1303  }
1304
1305  // --------------------------- Debug --------------------------------
1306  // XSDebug(enq_fire, p"enq! " + io.fromBpu.resp.bits.toPrintable)
1307  XSDebug(io.toIfu.req.fire, p"fire to ifu " + io.toIfu.req.bits.toPrintable)
1308  XSDebug(do_commit, p"deq! [ptr] $do_commit_ptr\n")
1309  XSDebug(true.B, p"[bpuPtr] $bpuPtr, [ifuPtr] $ifuPtr, [ifuWbPtr] $ifuWbPtr [commPtr] $commPtr\n")
1310  XSDebug(true.B, p"[in] v:${io.fromBpu.resp.valid} r:${io.fromBpu.resp.ready} " +
1311    p"[out] v:${io.toIfu.req.valid} r:${io.toIfu.req.ready}\n")
1312  XSDebug(do_commit, p"[deq info] cfiIndex: $commit_cfi, $commit_pc_bundle, target: ${Hexadecimal(commit_target)}\n")
1313
1314  //   def ubtbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
1315  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
1316  //       case (((valid, pd), ans), taken) =>
1317  //       Mux(valid && pd.isBr,
1318  //         isWrong ^ Mux(ans.hit.asBool,
1319  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
1320  //           !taken),
1321  //         !taken),
1322  //       false.B)
1323  //     }
1324  //   }
1325
1326  //   def btbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
1327  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
1328  //       case (((valid, pd), ans), taken) =>
1329  //       Mux(valid && pd.isBr,
1330  //         isWrong ^ Mux(ans.hit.asBool,
1331  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
1332  //           !taken),
1333  //         !taken),
1334  //       false.B)
1335  //     }
1336  //   }
1337
1338  //   def tageCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
1339  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
1340  //       case (((valid, pd), ans), taken) =>
1341  //       Mux(valid && pd.isBr,
1342  //         isWrong ^ (ans.taken.asBool === taken),
1343  //       false.B)
1344  //     }
1345  //   }
1346
1347  //   def loopCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
1348  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
1349  //       case (((valid, pd), ans), taken) =>
1350  //       Mux(valid && (pd.isBr) && ans.hit.asBool,
1351  //         isWrong ^ (!taken),
1352  //           false.B)
1353  //     }
1354  //   }
1355
1356  //   def rasCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
1357  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
1358  //       case (((valid, pd), ans), taken) =>
1359  //       Mux(valid && pd.isRet.asBool /*&& taken*/ && ans.hit.asBool,
1360  //         isWrong ^ (ans.target === commitEntry.target),
1361  //           false.B)
1362  //     }
1363  //   }
1364
1365  //   val ubtbRights = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), false.B)
1366  //   val ubtbWrongs = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), true.B)
1367  //   // btb and ubtb pred jal and jalr as well
1368  //   val btbRights = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), false.B)
1369  //   val btbWrongs = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), true.B)
1370  //   val tageRights = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), false.B)
1371  //   val tageWrongs = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), true.B)
1372
1373  //   val loopRights = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), false.B)
1374  //   val loopWrongs = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), true.B)
1375
1376  //   val rasRights = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), false.B)
1377  //   val rasWrongs = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), true.B)
1378
1379  val perfEvents = Seq(
1380    ("bpu_s2_redirect        ", bpu_s2_redirect                                                             ),
1381    ("bpu_s3_redirect        ", bpu_s3_redirect                                                             ),
1382    ("bpu_to_ftq_stall       ", enq.valid && ~enq.ready                                                     ),
1383    ("mispredictRedirect     ", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level),
1384    ("replayRedirect         ", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level)  ),
1385    ("predecodeRedirect      ", fromIfuRedirect.valid                                                       ),
1386    ("to_ifu_bubble          ", io.toIfu.req.ready && !io.toIfu.req.valid                                   ),
1387    ("from_bpu_real_bubble   ", !enq.valid && enq.ready && allowBpuIn                                       ),
1388    ("BpInstr                ", PopCount(mbpInstrs)                                                         ),
1389    ("BpBInstr               ", PopCount(mbpBRights | mbpBWrongs)                                           ),
1390    ("BpRight                ", PopCount(mbpRights)                                                         ),
1391    ("BpWrong                ", PopCount(mbpWrongs)                                                         ),
1392    ("BpBRight               ", PopCount(mbpBRights)                                                        ),
1393    ("BpBWrong               ", PopCount(mbpBWrongs)                                                        ),
1394    ("BpJRight               ", PopCount(mbpJRights)                                                        ),
1395    ("BpJWrong               ", PopCount(mbpJWrongs)                                                        ),
1396    ("BpIRight               ", PopCount(mbpIRights)                                                        ),
1397    ("BpIWrong               ", PopCount(mbpIWrongs)                                                        ),
1398    ("BpCRight               ", PopCount(mbpCRights)                                                        ),
1399    ("BpCWrong               ", PopCount(mbpCWrongs)                                                        ),
1400    ("BpRRight               ", PopCount(mbpRRights)                                                        ),
1401    ("BpRWrong               ", PopCount(mbpRWrongs)                                                        ),
1402    ("ftb_false_hit          ", PopCount(ftb_false_hit)                                                     ),
1403    ("ftb_hit                ", PopCount(ftb_hit)                                                           ),
1404  )
1405  generatePerfEvent()
1406}