xref: /XiangShan/src/main/scala/xiangshan/frontend/NewFtq.scala (revision d2b20d1a96e238e36a849bd253f65ec7b6a5db38)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
1509c6f1ddSLingrui98***************************************************************************************/
1609c6f1ddSLingrui98
1709c6f1ddSLingrui98package xiangshan.frontend
1809c6f1ddSLingrui98
1909c6f1ddSLingrui98import chipsalliance.rocketchip.config.Parameters
2009c6f1ddSLingrui98import chisel3._
2109c6f1ddSLingrui98import chisel3.util._
221ca0e4f3SYinan Xuimport utils._
233c02ee8fSwakafaimport utility._
2409c6f1ddSLingrui98import xiangshan._
25e30430c2SJayimport xiangshan.frontend.icache._
261ca0e4f3SYinan Xuimport xiangshan.backend.CtrlToFtqIO
272e1be6e1SSteve Gouimport xiangshan.backend.decode.ImmUnion
283c02ee8fSwakafaimport utility.ChiselDB
2951532d8bSGuokai Chen
3051532d8bSGuokai Chenclass FtqDebugBundle extends Bundle {
3151532d8bSGuokai Chen  val pc = UInt(39.W)
3251532d8bSGuokai Chen  val target = UInt(39.W)
3351532d8bSGuokai Chen  val isBr = Bool()
3451532d8bSGuokai Chen  val isJmp = Bool()
3551532d8bSGuokai Chen  val isCall = Bool()
3651532d8bSGuokai Chen  val isRet = Bool()
3751532d8bSGuokai Chen  val misPred = Bool()
3851532d8bSGuokai Chen  val isTaken = Bool()
3951532d8bSGuokai Chen  val predStage = UInt(2.W)
4051532d8bSGuokai Chen}
4109c6f1ddSLingrui98
4209c6f1ddSLingrui98class FtqPtr(implicit p: Parameters) extends CircularQueuePtr[FtqPtr](
4309c6f1ddSLingrui98  p => p(XSCoreParamsKey).FtqSize
4409c6f1ddSLingrui98){
4509c6f1ddSLingrui98}
4609c6f1ddSLingrui98
4709c6f1ddSLingrui98object FtqPtr {
4809c6f1ddSLingrui98  def apply(f: Bool, v: UInt)(implicit p: Parameters): FtqPtr = {
4909c6f1ddSLingrui98    val ptr = Wire(new FtqPtr)
5009c6f1ddSLingrui98    ptr.flag := f
5109c6f1ddSLingrui98    ptr.value := v
5209c6f1ddSLingrui98    ptr
5309c6f1ddSLingrui98  }
5409c6f1ddSLingrui98  def inverse(ptr: FtqPtr)(implicit p: Parameters): FtqPtr = {
5509c6f1ddSLingrui98    apply(!ptr.flag, ptr.value)
5609c6f1ddSLingrui98  }
5709c6f1ddSLingrui98}
5809c6f1ddSLingrui98
5909c6f1ddSLingrui98class FtqNRSRAM[T <: Data](gen: T, numRead: Int)(implicit p: Parameters) extends XSModule {
6009c6f1ddSLingrui98
6109c6f1ddSLingrui98  val io = IO(new Bundle() {
6209c6f1ddSLingrui98    val raddr = Input(Vec(numRead, UInt(log2Up(FtqSize).W)))
6309c6f1ddSLingrui98    val ren = Input(Vec(numRead, Bool()))
6409c6f1ddSLingrui98    val rdata = Output(Vec(numRead, gen))
6509c6f1ddSLingrui98    val waddr = Input(UInt(log2Up(FtqSize).W))
6609c6f1ddSLingrui98    val wen = Input(Bool())
6709c6f1ddSLingrui98    val wdata = Input(gen)
6809c6f1ddSLingrui98  })
6909c6f1ddSLingrui98
7009c6f1ddSLingrui98  for(i <- 0 until numRead){
7109c6f1ddSLingrui98    val sram = Module(new SRAMTemplate(gen, FtqSize))
7209c6f1ddSLingrui98    sram.io.r.req.valid := io.ren(i)
7309c6f1ddSLingrui98    sram.io.r.req.bits.setIdx := io.raddr(i)
7409c6f1ddSLingrui98    io.rdata(i) := sram.io.r.resp.data(0)
7509c6f1ddSLingrui98    sram.io.w.req.valid := io.wen
7609c6f1ddSLingrui98    sram.io.w.req.bits.setIdx := io.waddr
7709c6f1ddSLingrui98    sram.io.w.req.bits.data := VecInit(io.wdata)
7809c6f1ddSLingrui98  }
7909c6f1ddSLingrui98
8009c6f1ddSLingrui98}
8109c6f1ddSLingrui98
8209c6f1ddSLingrui98class Ftq_RF_Components(implicit p: Parameters) extends XSBundle with BPUUtils {
8309c6f1ddSLingrui98  val startAddr = UInt(VAddrBits.W)
84b37e4b45SLingrui98  val nextLineAddr = UInt(VAddrBits.W)
8509c6f1ddSLingrui98  val isNextMask = Vec(PredictWidth, Bool())
86b37e4b45SLingrui98  val fallThruError = Bool()
87b37e4b45SLingrui98  // val carry = Bool()
8809c6f1ddSLingrui98  def getPc(offset: UInt) = {
8985215037SLingrui98    def getHigher(pc: UInt) = pc(VAddrBits-1, log2Ceil(PredictWidth)+instOffsetBits+1)
9085215037SLingrui98    def getOffset(pc: UInt) = pc(log2Ceil(PredictWidth)+instOffsetBits, instOffsetBits)
91b37e4b45SLingrui98    Cat(getHigher(Mux(isNextMask(offset) && startAddr(log2Ceil(PredictWidth)+instOffsetBits), nextLineAddr, startAddr)),
9209c6f1ddSLingrui98        getOffset(startAddr)+offset, 0.U(instOffsetBits.W))
9309c6f1ddSLingrui98  }
9409c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
95a229ab6cSLingrui98    def carryPos(addr: UInt) = addr(instOffsetBits+log2Ceil(PredictWidth)+1)
9609c6f1ddSLingrui98    this.startAddr := resp.pc
97a60a2901SLingrui98    this.nextLineAddr := resp.pc + (FetchWidth * 4 * 2).U // may be broken on other configs
9809c6f1ddSLingrui98    this.isNextMask := VecInit((0 until PredictWidth).map(i =>
9909c6f1ddSLingrui98      (resp.pc(log2Ceil(PredictWidth), 1) +& i.U)(log2Ceil(PredictWidth)).asBool()
10009c6f1ddSLingrui98    ))
101b37e4b45SLingrui98    this.fallThruError := resp.fallThruError
10209c6f1ddSLingrui98    this
10309c6f1ddSLingrui98  }
10409c6f1ddSLingrui98  override def toPrintable: Printable = {
105b37e4b45SLingrui98    p"startAddr:${Hexadecimal(startAddr)}"
10609c6f1ddSLingrui98  }
10709c6f1ddSLingrui98}
10809c6f1ddSLingrui98
10909c6f1ddSLingrui98class Ftq_pd_Entry(implicit p: Parameters) extends XSBundle {
11009c6f1ddSLingrui98  val brMask = Vec(PredictWidth, Bool())
11109c6f1ddSLingrui98  val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
11209c6f1ddSLingrui98  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
11309c6f1ddSLingrui98  val jalTarget = UInt(VAddrBits.W)
11409c6f1ddSLingrui98  val rvcMask = Vec(PredictWidth, Bool())
11509c6f1ddSLingrui98  def hasJal  = jmpInfo.valid && !jmpInfo.bits(0)
11609c6f1ddSLingrui98  def hasJalr = jmpInfo.valid && jmpInfo.bits(0)
11709c6f1ddSLingrui98  def hasCall = jmpInfo.valid && jmpInfo.bits(1)
11809c6f1ddSLingrui98  def hasRet  = jmpInfo.valid && jmpInfo.bits(2)
11909c6f1ddSLingrui98
12009c6f1ddSLingrui98  def fromPdWb(pdWb: PredecodeWritebackBundle) = {
12109c6f1ddSLingrui98    val pds = pdWb.pd
12209c6f1ddSLingrui98    this.brMask := VecInit(pds.map(pd => pd.isBr && pd.valid))
12309c6f1ddSLingrui98    this.jmpInfo.valid := VecInit(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid)).asUInt.orR
12409c6f1ddSLingrui98    this.jmpInfo.bits := ParallelPriorityMux(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid),
12509c6f1ddSLingrui98                                             pds.map(pd => VecInit(pd.isJalr, pd.isCall, pd.isRet)))
12609c6f1ddSLingrui98    this.jmpOffset := ParallelPriorityEncoder(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid))
12709c6f1ddSLingrui98    this.rvcMask := VecInit(pds.map(pd => pd.isRVC))
12809c6f1ddSLingrui98    this.jalTarget := pdWb.jalTarget
12909c6f1ddSLingrui98  }
13009c6f1ddSLingrui98
13109c6f1ddSLingrui98  def toPd(offset: UInt) = {
13209c6f1ddSLingrui98    require(offset.getWidth == log2Ceil(PredictWidth))
13309c6f1ddSLingrui98    val pd = Wire(new PreDecodeInfo)
13409c6f1ddSLingrui98    pd.valid := true.B
13509c6f1ddSLingrui98    pd.isRVC := rvcMask(offset)
13609c6f1ddSLingrui98    val isBr = brMask(offset)
13709c6f1ddSLingrui98    val isJalr = offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(0)
13809c6f1ddSLingrui98    pd.brType := Cat(offset === jmpOffset && jmpInfo.valid, isJalr || isBr)
13909c6f1ddSLingrui98    pd.isCall := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(1)
14009c6f1ddSLingrui98    pd.isRet  := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(2)
14109c6f1ddSLingrui98    pd
14209c6f1ddSLingrui98  }
14309c6f1ddSLingrui98}
14409c6f1ddSLingrui98
14509c6f1ddSLingrui98
14609c6f1ddSLingrui98
147c2d1ec7dSLingrui98class Ftq_Redirect_SRAMEntry(implicit p: Parameters) extends SpeculativeInfo {}
14809c6f1ddSLingrui98
14909c6f1ddSLingrui98class Ftq_1R_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
15009c6f1ddSLingrui98  val meta = UInt(MaxMetaLength.W)
15109c6f1ddSLingrui98}
15209c6f1ddSLingrui98
15309c6f1ddSLingrui98class Ftq_Pred_Info(implicit p: Parameters) extends XSBundle {
15409c6f1ddSLingrui98  val target = UInt(VAddrBits.W)
15509c6f1ddSLingrui98  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
15609c6f1ddSLingrui98}
15709c6f1ddSLingrui98
15809c6f1ddSLingrui98
15909c6f1ddSLingrui98class FtqRead[T <: Data](private val gen: T)(implicit p: Parameters) extends XSBundle {
16009c6f1ddSLingrui98  val ptr = Output(new FtqPtr)
16109c6f1ddSLingrui98  val offset = Output(UInt(log2Ceil(PredictWidth).W))
16209c6f1ddSLingrui98  val data = Input(gen)
16309c6f1ddSLingrui98  def apply(ptr: FtqPtr, offset: UInt) = {
16409c6f1ddSLingrui98    this.ptr := ptr
16509c6f1ddSLingrui98    this.offset := offset
16609c6f1ddSLingrui98    this.data
16709c6f1ddSLingrui98  }
16809c6f1ddSLingrui98}
16909c6f1ddSLingrui98
17009c6f1ddSLingrui98
17109c6f1ddSLingrui98class FtqToBpuIO(implicit p: Parameters) extends XSBundle {
17209c6f1ddSLingrui98  val redirect = Valid(new BranchPredictionRedirect)
17309c6f1ddSLingrui98  val update = Valid(new BranchPredictionUpdate)
17409c6f1ddSLingrui98  val enq_ptr = Output(new FtqPtr)
17509c6f1ddSLingrui98}
17609c6f1ddSLingrui98
17709c6f1ddSLingrui98class FtqToIfuIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
17809c6f1ddSLingrui98  val req = Decoupled(new FetchRequestBundle)
179*d2b20d1aSTang Haojin  val redirect = Valid(new BranchPredictionRedirect)
180*d2b20d1aSTang Haojin  val topdown_redirect = Valid(new BranchPredictionRedirect)
18109c6f1ddSLingrui98  val flushFromBpu = new Bundle {
18209c6f1ddSLingrui98    // when ifu pipeline is not stalled,
18309c6f1ddSLingrui98    // a packet from bpu s3 can reach f1 at most
18409c6f1ddSLingrui98    val s2 = Valid(new FtqPtr)
185cb4f77ceSLingrui98    val s3 = Valid(new FtqPtr)
18609c6f1ddSLingrui98    def shouldFlushBy(src: Valid[FtqPtr], idx_to_flush: FtqPtr) = {
18709c6f1ddSLingrui98      src.valid && !isAfter(src.bits, idx_to_flush)
18809c6f1ddSLingrui98    }
18909c6f1ddSLingrui98    def shouldFlushByStage2(idx: FtqPtr) = shouldFlushBy(s2, idx)
190cb4f77ceSLingrui98    def shouldFlushByStage3(idx: FtqPtr) = shouldFlushBy(s3, idx)
19109c6f1ddSLingrui98  }
19209c6f1ddSLingrui98}
19309c6f1ddSLingrui98
194c5c5edaeSJeniusclass FtqToICacheIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
195c5c5edaeSJenius  //NOTE: req.bits must be prepare in T cycle
196c5c5edaeSJenius  // while req.valid is set true in T + 1 cycle
197c5c5edaeSJenius  val req = Decoupled(new FtqToICacheRequestBundle)
198c5c5edaeSJenius}
199c5c5edaeSJenius
20009c6f1ddSLingrui98trait HasBackendRedirectInfo extends HasXSParameter {
2012e1be6e1SSteve Gou  def numRedirectPcRead = exuParameters.JmpCnt + exuParameters.AluCnt + 1
20209c6f1ddSLingrui98  def isLoadReplay(r: Valid[Redirect]) = r.bits.flushItself()
20309c6f1ddSLingrui98}
20409c6f1ddSLingrui98
20509c6f1ddSLingrui98class FtqToCtrlIO(implicit p: Parameters) extends XSBundle with HasBackendRedirectInfo {
206b56f947eSYinan Xu  // write to backend pc mem
207b56f947eSYinan Xu  val pc_mem_wen = Output(Bool())
208b56f947eSYinan Xu  val pc_mem_waddr = Output(UInt(log2Ceil(FtqSize).W))
209b56f947eSYinan Xu  val pc_mem_wdata = Output(new Ftq_RF_Components)
210873dc383SLingrui98  // newest target
211873dc383SLingrui98  val newest_entry_target = Output(UInt(VAddrBits.W))
212873dc383SLingrui98  val newest_entry_ptr = Output(new FtqPtr)
21309c6f1ddSLingrui98}
21409c6f1ddSLingrui98
21509c6f1ddSLingrui98
21609c6f1ddSLingrui98class FTBEntryGen(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo with HasBPUParameter {
21709c6f1ddSLingrui98  val io = IO(new Bundle {
21809c6f1ddSLingrui98    val start_addr = Input(UInt(VAddrBits.W))
21909c6f1ddSLingrui98    val old_entry = Input(new FTBEntry)
22009c6f1ddSLingrui98    val pd = Input(new Ftq_pd_Entry)
22109c6f1ddSLingrui98    val cfiIndex = Flipped(Valid(UInt(log2Ceil(PredictWidth).W)))
22209c6f1ddSLingrui98    val target = Input(UInt(VAddrBits.W))
22309c6f1ddSLingrui98    val hit = Input(Bool())
22409c6f1ddSLingrui98    val mispredict_vec = Input(Vec(PredictWidth, Bool()))
22509c6f1ddSLingrui98
22609c6f1ddSLingrui98    val new_entry = Output(new FTBEntry)
22709c6f1ddSLingrui98    val new_br_insert_pos = Output(Vec(numBr, Bool()))
22809c6f1ddSLingrui98    val taken_mask = Output(Vec(numBr, Bool()))
229803124a6SLingrui98    val jmp_taken = Output(Bool())
23009c6f1ddSLingrui98    val mispred_mask = Output(Vec(numBr+1, Bool()))
23109c6f1ddSLingrui98
23209c6f1ddSLingrui98    // for perf counters
23309c6f1ddSLingrui98    val is_init_entry = Output(Bool())
23409c6f1ddSLingrui98    val is_old_entry = Output(Bool())
23509c6f1ddSLingrui98    val is_new_br = Output(Bool())
23609c6f1ddSLingrui98    val is_jalr_target_modified = Output(Bool())
23709c6f1ddSLingrui98    val is_always_taken_modified = Output(Bool())
23809c6f1ddSLingrui98    val is_br_full = Output(Bool())
23909c6f1ddSLingrui98  })
24009c6f1ddSLingrui98
24109c6f1ddSLingrui98  // no mispredictions detected at predecode
24209c6f1ddSLingrui98  val hit = io.hit
24309c6f1ddSLingrui98  val pd = io.pd
24409c6f1ddSLingrui98
24509c6f1ddSLingrui98  val init_entry = WireInit(0.U.asTypeOf(new FTBEntry))
24609c6f1ddSLingrui98
24709c6f1ddSLingrui98
24809c6f1ddSLingrui98  val cfi_is_br = pd.brMask(io.cfiIndex.bits) && io.cfiIndex.valid
24909c6f1ddSLingrui98  val entry_has_jmp = pd.jmpInfo.valid
25009c6f1ddSLingrui98  val new_jmp_is_jal  = entry_has_jmp && !pd.jmpInfo.bits(0) && io.cfiIndex.valid
25109c6f1ddSLingrui98  val new_jmp_is_jalr = entry_has_jmp &&  pd.jmpInfo.bits(0) && io.cfiIndex.valid
25209c6f1ddSLingrui98  val new_jmp_is_call = entry_has_jmp &&  pd.jmpInfo.bits(1) && io.cfiIndex.valid
25309c6f1ddSLingrui98  val new_jmp_is_ret  = entry_has_jmp &&  pd.jmpInfo.bits(2) && io.cfiIndex.valid
25409c6f1ddSLingrui98  val last_jmp_rvi = entry_has_jmp && pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask.last
255a60a2901SLingrui98  // val last_br_rvi = cfi_is_br && io.cfiIndex.bits === (PredictWidth-1).U && !pd.rvcMask.last
25609c6f1ddSLingrui98
25709c6f1ddSLingrui98  val cfi_is_jal = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jal
25809c6f1ddSLingrui98  val cfi_is_jalr = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jalr
25909c6f1ddSLingrui98
260a60a2901SLingrui98  def carryPos = log2Ceil(PredictWidth)+instOffsetBits
26109c6f1ddSLingrui98  def getLower(pc: UInt) = pc(carryPos-1, instOffsetBits)
26209c6f1ddSLingrui98  // if not hit, establish a new entry
26309c6f1ddSLingrui98  init_entry.valid := true.B
26409c6f1ddSLingrui98  // tag is left for ftb to assign
265eeb5ff92SLingrui98
266eeb5ff92SLingrui98  // case br
267eeb5ff92SLingrui98  val init_br_slot = init_entry.getSlotForBr(0)
268eeb5ff92SLingrui98  when (cfi_is_br) {
269eeb5ff92SLingrui98    init_br_slot.valid := true.B
270eeb5ff92SLingrui98    init_br_slot.offset := io.cfiIndex.bits
271b37e4b45SLingrui98    init_br_slot.setLowerStatByTarget(io.start_addr, io.target, numBr == 1)
272eeb5ff92SLingrui98    init_entry.always_taken(0) := true.B // set to always taken on init
273eeb5ff92SLingrui98  }
274eeb5ff92SLingrui98
275eeb5ff92SLingrui98  // case jmp
276eeb5ff92SLingrui98  when (entry_has_jmp) {
277eeb5ff92SLingrui98    init_entry.tailSlot.offset := pd.jmpOffset
278eeb5ff92SLingrui98    init_entry.tailSlot.valid := new_jmp_is_jal || new_jmp_is_jalr
279eeb5ff92SLingrui98    init_entry.tailSlot.setLowerStatByTarget(io.start_addr, Mux(cfi_is_jalr, io.target, pd.jalTarget), isShare=false)
280eeb5ff92SLingrui98  }
281eeb5ff92SLingrui98
28209c6f1ddSLingrui98  val jmpPft = getLower(io.start_addr) +& pd.jmpOffset +& Mux(pd.rvcMask(pd.jmpOffset), 1.U, 2.U)
283a60a2901SLingrui98  init_entry.pftAddr := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft, getLower(io.start_addr))
284a60a2901SLingrui98  init_entry.carry   := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft(carryPos-instOffsetBits), true.B)
28509c6f1ddSLingrui98  init_entry.isJalr := new_jmp_is_jalr
28609c6f1ddSLingrui98  init_entry.isCall := new_jmp_is_call
28709c6f1ddSLingrui98  init_entry.isRet  := new_jmp_is_ret
288f4ebc4b2SLingrui98  // that means fall thru points to the middle of an inst
289ae409b75SSteve Gou  init_entry.last_may_be_rvi_call := pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask(pd.jmpOffset)
29009c6f1ddSLingrui98
29109c6f1ddSLingrui98  // if hit, check whether a new cfi(only br is possible) is detected
29209c6f1ddSLingrui98  val oe = io.old_entry
293eeb5ff92SLingrui98  val br_recorded_vec = oe.getBrRecordedVec(io.cfiIndex.bits)
29409c6f1ddSLingrui98  val br_recorded = br_recorded_vec.asUInt.orR
29509c6f1ddSLingrui98  val is_new_br = cfi_is_br && !br_recorded
29609c6f1ddSLingrui98  val new_br_offset = io.cfiIndex.bits
29709c6f1ddSLingrui98  // vec(i) means new br will be inserted BEFORE old br(i)
298eeb5ff92SLingrui98  val allBrSlotsVec = oe.allSlotsForBr
29909c6f1ddSLingrui98  val new_br_insert_onehot = VecInit((0 until numBr).map{
30009c6f1ddSLingrui98    i => i match {
301eeb5ff92SLingrui98      case 0 =>
302eeb5ff92SLingrui98        !allBrSlotsVec(0).valid || new_br_offset < allBrSlotsVec(0).offset
303eeb5ff92SLingrui98      case idx =>
304eeb5ff92SLingrui98        allBrSlotsVec(idx-1).valid && new_br_offset > allBrSlotsVec(idx-1).offset &&
305eeb5ff92SLingrui98        (!allBrSlotsVec(idx).valid || new_br_offset < allBrSlotsVec(idx).offset)
30609c6f1ddSLingrui98    }
30709c6f1ddSLingrui98  })
30809c6f1ddSLingrui98
30909c6f1ddSLingrui98  val old_entry_modified = WireInit(io.old_entry)
31009c6f1ddSLingrui98  for (i <- 0 until numBr) {
311eeb5ff92SLingrui98    val slot = old_entry_modified.allSlotsForBr(i)
312eeb5ff92SLingrui98    when (new_br_insert_onehot(i)) {
313eeb5ff92SLingrui98      slot.valid := true.B
314eeb5ff92SLingrui98      slot.offset := new_br_offset
315b37e4b45SLingrui98      slot.setLowerStatByTarget(io.start_addr, io.target, i == numBr-1)
316eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := true.B
317eeb5ff92SLingrui98    }.elsewhen (new_br_offset > oe.allSlotsForBr(i).offset) {
318eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := false.B
319eeb5ff92SLingrui98      // all other fields remain unchanged
320eeb5ff92SLingrui98    }.otherwise {
321eeb5ff92SLingrui98      // case i == 0, remain unchanged
322eeb5ff92SLingrui98      if (i != 0) {
323b37e4b45SLingrui98        val noNeedToMoveFromFormerSlot = (i == numBr-1).B && !oe.brSlots.last.valid
324eeb5ff92SLingrui98        when (!noNeedToMoveFromFormerSlot) {
325eeb5ff92SLingrui98          slot.fromAnotherSlot(oe.allSlotsForBr(i-1))
326eeb5ff92SLingrui98          old_entry_modified.always_taken(i) := oe.always_taken(i)
32709c6f1ddSLingrui98        }
328eeb5ff92SLingrui98      }
329eeb5ff92SLingrui98    }
330eeb5ff92SLingrui98  }
33109c6f1ddSLingrui98
332eeb5ff92SLingrui98  // two circumstances:
333eeb5ff92SLingrui98  // 1. oe: | br | j  |, new br should be in front of j, thus addr of j should be new pft
334eeb5ff92SLingrui98  // 2. oe: | br | br |, new br could be anywhere between, thus new pft is the addr of either
335eeb5ff92SLingrui98  //        the previous last br or the new br
336eeb5ff92SLingrui98  val may_have_to_replace = oe.noEmptySlotForNewBr
337eeb5ff92SLingrui98  val pft_need_to_change = is_new_br && may_have_to_replace
33809c6f1ddSLingrui98  // it should either be the given last br or the new br
33909c6f1ddSLingrui98  when (pft_need_to_change) {
340eeb5ff92SLingrui98    val new_pft_offset =
341710a8720SLingrui98      Mux(!new_br_insert_onehot.asUInt.orR,
342710a8720SLingrui98        new_br_offset, oe.allSlotsForBr.last.offset)
343eeb5ff92SLingrui98
344710a8720SLingrui98    // set jmp to invalid
34509c6f1ddSLingrui98    old_entry_modified.pftAddr := getLower(io.start_addr) + new_pft_offset
34609c6f1ddSLingrui98    old_entry_modified.carry := (getLower(io.start_addr) +& new_pft_offset).head(1).asBool
347f4ebc4b2SLingrui98    old_entry_modified.last_may_be_rvi_call := false.B
34809c6f1ddSLingrui98    old_entry_modified.isCall := false.B
34909c6f1ddSLingrui98    old_entry_modified.isRet := false.B
350eeb5ff92SLingrui98    old_entry_modified.isJalr := false.B
35109c6f1ddSLingrui98  }
35209c6f1ddSLingrui98
35309c6f1ddSLingrui98  val old_entry_jmp_target_modified = WireInit(oe)
354710a8720SLingrui98  val old_target = oe.tailSlot.getTarget(io.start_addr) // may be wrong because we store only 20 lowest bits
355b37e4b45SLingrui98  val old_tail_is_jmp = !oe.tailSlot.sharing
356eeb5ff92SLingrui98  val jalr_target_modified = cfi_is_jalr && (old_target =/= io.target) && old_tail_is_jmp // TODO: pass full jalr target
3573bcae573SLingrui98  when (jalr_target_modified) {
35809c6f1ddSLingrui98    old_entry_jmp_target_modified.setByJmpTarget(io.start_addr, io.target)
35909c6f1ddSLingrui98    old_entry_jmp_target_modified.always_taken := 0.U.asTypeOf(Vec(numBr, Bool()))
36009c6f1ddSLingrui98  }
36109c6f1ddSLingrui98
36209c6f1ddSLingrui98  val old_entry_always_taken = WireInit(oe)
36309c6f1ddSLingrui98  val always_taken_modified_vec = Wire(Vec(numBr, Bool())) // whether modified or not
36409c6f1ddSLingrui98  for (i <- 0 until numBr) {
36509c6f1ddSLingrui98    old_entry_always_taken.always_taken(i) :=
36609c6f1ddSLingrui98      oe.always_taken(i) && io.cfiIndex.valid && oe.brValids(i) && io.cfiIndex.bits === oe.brOffset(i)
367710a8720SLingrui98    always_taken_modified_vec(i) := oe.always_taken(i) && !old_entry_always_taken.always_taken(i)
36809c6f1ddSLingrui98  }
36909c6f1ddSLingrui98  val always_taken_modified = always_taken_modified_vec.reduce(_||_)
37009c6f1ddSLingrui98
37109c6f1ddSLingrui98
37209c6f1ddSLingrui98
37309c6f1ddSLingrui98  val derived_from_old_entry =
37409c6f1ddSLingrui98    Mux(is_new_br, old_entry_modified,
3753bcae573SLingrui98      Mux(jalr_target_modified, old_entry_jmp_target_modified, old_entry_always_taken))
37609c6f1ddSLingrui98
37709c6f1ddSLingrui98
37809c6f1ddSLingrui98  io.new_entry := Mux(!hit, init_entry, derived_from_old_entry)
37909c6f1ddSLingrui98
38009c6f1ddSLingrui98  io.new_br_insert_pos := new_br_insert_onehot
38109c6f1ddSLingrui98  io.taken_mask := VecInit((io.new_entry.brOffset zip io.new_entry.brValids).map{
38209c6f1ddSLingrui98    case (off, v) => io.cfiIndex.bits === off && io.cfiIndex.valid && v
38309c6f1ddSLingrui98  })
384803124a6SLingrui98  io.jmp_taken := io.new_entry.jmpValid && io.new_entry.tailSlot.offset === io.cfiIndex.bits
38509c6f1ddSLingrui98  for (i <- 0 until numBr) {
38609c6f1ddSLingrui98    io.mispred_mask(i) := io.new_entry.brValids(i) && io.mispredict_vec(io.new_entry.brOffset(i))
38709c6f1ddSLingrui98  }
38809c6f1ddSLingrui98  io.mispred_mask.last := io.new_entry.jmpValid && io.mispredict_vec(pd.jmpOffset)
38909c6f1ddSLingrui98
39009c6f1ddSLingrui98  // for perf counters
39109c6f1ddSLingrui98  io.is_init_entry := !hit
3923bcae573SLingrui98  io.is_old_entry := hit && !is_new_br && !jalr_target_modified && !always_taken_modified
39309c6f1ddSLingrui98  io.is_new_br := hit && is_new_br
3943bcae573SLingrui98  io.is_jalr_target_modified := hit && jalr_target_modified
39509c6f1ddSLingrui98  io.is_always_taken_modified := hit && always_taken_modified
396eeb5ff92SLingrui98  io.is_br_full := hit && is_new_br && may_have_to_replace
39709c6f1ddSLingrui98}
39809c6f1ddSLingrui98
399c5c5edaeSJeniusclass FtqPcMemWrapper(numOtherReads: Int)(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo {
400c5c5edaeSJenius  val io = IO(new Bundle {
401c5c5edaeSJenius    val ifuPtr_w       = Input(new FtqPtr)
402c5c5edaeSJenius    val ifuPtrPlus1_w  = Input(new FtqPtr)
4036bf9b30dSLingrui98    val ifuPtrPlus2_w  = Input(new FtqPtr)
404c5c5edaeSJenius    val commPtr_w      = Input(new FtqPtr)
4056bf9b30dSLingrui98    val commPtrPlus1_w = Input(new FtqPtr)
406c5c5edaeSJenius    val ifuPtr_rdata       = Output(new Ftq_RF_Components)
407c5c5edaeSJenius    val ifuPtrPlus1_rdata  = Output(new Ftq_RF_Components)
4086bf9b30dSLingrui98    val ifuPtrPlus2_rdata  = Output(new Ftq_RF_Components)
409c5c5edaeSJenius    val commPtr_rdata      = Output(new Ftq_RF_Components)
4106bf9b30dSLingrui98    val commPtrPlus1_rdata = Output(new Ftq_RF_Components)
411c5c5edaeSJenius
412c5c5edaeSJenius    val other_raddrs = Input(Vec(numOtherReads, UInt(log2Ceil(FtqSize).W)))
413c5c5edaeSJenius    val other_rdatas = Output(Vec(numOtherReads, new Ftq_RF_Components))
414c5c5edaeSJenius
415c5c5edaeSJenius    val wen = Input(Bool())
416c5c5edaeSJenius    val waddr = Input(UInt(log2Ceil(FtqSize).W))
417c5c5edaeSJenius    val wdata = Input(new Ftq_RF_Components)
418c5c5edaeSJenius  })
419c5c5edaeSJenius
4206bf9b30dSLingrui98  val num_pc_read = numOtherReads + 5
421c5c5edaeSJenius  val mem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize,
42228f2cf58SLingrui98    num_pc_read, 1, "FtqPC"))
423c5c5edaeSJenius  mem.io.wen(0)   := io.wen
424c5c5edaeSJenius  mem.io.waddr(0) := io.waddr
425c5c5edaeSJenius  mem.io.wdata(0) := io.wdata
426c5c5edaeSJenius
4276bf9b30dSLingrui98  // read one cycle ahead for ftq local reads
428c5c5edaeSJenius  val raddr_vec = VecInit(io.other_raddrs ++
42988bc4f90SLingrui98    Seq(io.ifuPtr_w.value, io.ifuPtrPlus1_w.value, io.ifuPtrPlus2_w.value, io.commPtrPlus1_w.value, io.commPtr_w.value))
430c5c5edaeSJenius
431c5c5edaeSJenius  mem.io.raddr := raddr_vec
432c5c5edaeSJenius
4336bf9b30dSLingrui98  io.other_rdatas       := mem.io.rdata.dropRight(5)
4346bf9b30dSLingrui98  io.ifuPtr_rdata       := mem.io.rdata.dropRight(4).last
4356bf9b30dSLingrui98  io.ifuPtrPlus1_rdata  := mem.io.rdata.dropRight(3).last
4366bf9b30dSLingrui98  io.ifuPtrPlus2_rdata  := mem.io.rdata.dropRight(2).last
4376bf9b30dSLingrui98  io.commPtrPlus1_rdata := mem.io.rdata.dropRight(1).last
438c5c5edaeSJenius  io.commPtr_rdata      := mem.io.rdata.last
439c5c5edaeSJenius}
440c5c5edaeSJenius
44109c6f1ddSLingrui98class Ftq(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper
442e30430c2SJay  with HasBackendRedirectInfo with BPUUtils with HasBPUConst with HasPerfEvents
443e30430c2SJay  with HasICacheParameters{
44409c6f1ddSLingrui98  val io = IO(new Bundle {
44509c6f1ddSLingrui98    val fromBpu = Flipped(new BpuToFtqIO)
44609c6f1ddSLingrui98    val fromIfu = Flipped(new IfuToFtqIO)
44709c6f1ddSLingrui98    val fromBackend = Flipped(new CtrlToFtqIO)
44809c6f1ddSLingrui98
44909c6f1ddSLingrui98    val toBpu = new FtqToBpuIO
45009c6f1ddSLingrui98    val toIfu = new FtqToIfuIO
451c5c5edaeSJenius    val toICache = new FtqToICacheIO
45209c6f1ddSLingrui98    val toBackend = new FtqToCtrlIO
45309c6f1ddSLingrui98
4547052722fSJay    val toPrefetch = new FtqPrefechBundle
4557052722fSJay
45609c6f1ddSLingrui98    val bpuInfo = new Bundle {
45709c6f1ddSLingrui98      val bpRight = Output(UInt(XLEN.W))
45809c6f1ddSLingrui98      val bpWrong = Output(UInt(XLEN.W))
45909c6f1ddSLingrui98    }
4601d1e6d4dSJenius
4611d1e6d4dSJenius    val mmioCommitRead = Flipped(new mmioCommitRead)
462*d2b20d1aSTang Haojin
463*d2b20d1aSTang Haojin    // for perf
464*d2b20d1aSTang Haojin    val ControlBTBMissBubble = Output(Bool())
465*d2b20d1aSTang Haojin    val TAGEMissBubble = Output(Bool())
466*d2b20d1aSTang Haojin    val SCMissBubble = Output(Bool())
467*d2b20d1aSTang Haojin    val ITTAGEMissBubble = Output(Bool())
468*d2b20d1aSTang Haojin    val RASMissBubble = Output(Bool())
46909c6f1ddSLingrui98  })
47009c6f1ddSLingrui98  io.bpuInfo := DontCare
47109c6f1ddSLingrui98
472*d2b20d1aSTang Haojin  val topdown_stage = RegInit(0.U.asTypeOf(new FrontendTopDownBundle))
473*d2b20d1aSTang Haojin  dontTouch(topdown_stage)
474*d2b20d1aSTang Haojin  // only driven by clock, not valid-ready
475*d2b20d1aSTang Haojin  topdown_stage := io.fromBpu.resp.bits.topdown_info
476*d2b20d1aSTang Haojin  io.toIfu.req.bits.topdown_info := topdown_stage
477*d2b20d1aSTang Haojin
478*d2b20d1aSTang Haojin  val ifuRedirected = RegInit(VecInit(Seq.fill(FtqSize)(false.B)))
479*d2b20d1aSTang Haojin
480*d2b20d1aSTang Haojin  val backendRedirect = Wire(Valid(new BranchPredictionRedirect))
4812e1be6e1SSteve Gou  val backendRedirectReg = RegNext(backendRedirect)
48209c6f1ddSLingrui98
483df5b4b8eSYinan Xu  val stage2Flush = backendRedirect.valid
48409c6f1ddSLingrui98  val backendFlush = stage2Flush || RegNext(stage2Flush)
48509c6f1ddSLingrui98  val ifuFlush = Wire(Bool())
48609c6f1ddSLingrui98
48709c6f1ddSLingrui98  val flush = stage2Flush || RegNext(stage2Flush)
48809c6f1ddSLingrui98
48909c6f1ddSLingrui98  val allowBpuIn, allowToIfu = WireInit(false.B)
49009c6f1ddSLingrui98  val flushToIfu = !allowToIfu
491df5b4b8eSYinan Xu  allowBpuIn := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
492df5b4b8eSYinan Xu  allowToIfu := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
49309c6f1ddSLingrui98
494f56177cbSJenius  def copyNum = 5
495e30430c2SJay  val bpuPtr, ifuPtr, ifuWbPtr, commPtr = RegInit(FtqPtr(false.B, 0.U))
496c9bc5480SLingrui98  val ifuPtrPlus1 = RegInit(FtqPtr(false.B, 1.U))
4976bf9b30dSLingrui98  val ifuPtrPlus2 = RegInit(FtqPtr(false.B, 2.U))
4986bf9b30dSLingrui98  val commPtrPlus1 = RegInit(FtqPtr(false.B, 1.U))
499f56177cbSJenius  val copied_ifu_ptr = Seq.fill(copyNum)(RegInit(FtqPtr(false.B, 0.U)))
500dc270d3bSJenius  val copied_bpu_ptr = Seq.fill(copyNum)(RegInit(FtqPtr(false.B, 0.U)))
5016bf9b30dSLingrui98  require(FtqSize >= 4)
502c5c5edaeSJenius  val ifuPtr_write       = WireInit(ifuPtr)
503c5c5edaeSJenius  val ifuPtrPlus1_write  = WireInit(ifuPtrPlus1)
5046bf9b30dSLingrui98  val ifuPtrPlus2_write  = WireInit(ifuPtrPlus2)
505c5c5edaeSJenius  val ifuWbPtr_write     = WireInit(ifuWbPtr)
506c5c5edaeSJenius  val commPtr_write      = WireInit(commPtr)
5076bf9b30dSLingrui98  val commPtrPlus1_write = WireInit(commPtrPlus1)
508c5c5edaeSJenius  ifuPtr       := ifuPtr_write
509c5c5edaeSJenius  ifuPtrPlus1  := ifuPtrPlus1_write
5106bf9b30dSLingrui98  ifuPtrPlus2  := ifuPtrPlus2_write
511c5c5edaeSJenius  ifuWbPtr     := ifuWbPtr_write
512c5c5edaeSJenius  commPtr      := commPtr_write
513f83ef67eSLingrui98  commPtrPlus1 := commPtrPlus1_write
514f56177cbSJenius  copied_ifu_ptr.map{ptr =>
515f56177cbSJenius    ptr := ifuPtr_write
516f56177cbSJenius    dontTouch(ptr)
517f56177cbSJenius  }
51809c6f1ddSLingrui98  val validEntries = distanceBetween(bpuPtr, commPtr)
51943aca6c2SGuokai Chen  val canCommit = Wire(Bool())
52009c6f1ddSLingrui98
52109c6f1ddSLingrui98  // **********************************************************************
52209c6f1ddSLingrui98  // **************************** enq from bpu ****************************
52309c6f1ddSLingrui98  // **********************************************************************
52443aca6c2SGuokai Chen  val new_entry_ready = validEntries < FtqSize.U || canCommit
52509c6f1ddSLingrui98  io.fromBpu.resp.ready := new_entry_ready
52609c6f1ddSLingrui98
52709c6f1ddSLingrui98  val bpu_s2_resp = io.fromBpu.resp.bits.s2
528cb4f77ceSLingrui98  val bpu_s3_resp = io.fromBpu.resp.bits.s3
52909c6f1ddSLingrui98  val bpu_s2_redirect = bpu_s2_resp.valid && bpu_s2_resp.hasRedirect
530cb4f77ceSLingrui98  val bpu_s3_redirect = bpu_s3_resp.valid && bpu_s3_resp.hasRedirect
53109c6f1ddSLingrui98
53209c6f1ddSLingrui98  io.toBpu.enq_ptr := bpuPtr
53309c6f1ddSLingrui98  val enq_fire = io.fromBpu.resp.fire() && allowBpuIn // from bpu s1
534cb4f77ceSLingrui98  val bpu_in_fire = (io.fromBpu.resp.fire() || bpu_s2_redirect || bpu_s3_redirect) && allowBpuIn
53509c6f1ddSLingrui98
536b37e4b45SLingrui98  val bpu_in_resp = io.fromBpu.resp.bits.selectedResp
537b37e4b45SLingrui98  val bpu_in_stage = io.fromBpu.resp.bits.selectedRespIdx
53809c6f1ddSLingrui98  val bpu_in_resp_ptr = Mux(bpu_in_stage === BP_S1, bpuPtr, bpu_in_resp.ftq_idx)
53909c6f1ddSLingrui98  val bpu_in_resp_idx = bpu_in_resp_ptr.value
54009c6f1ddSLingrui98
541378f00d9SJenius  // read ports:      prefetchReq ++  ifuReq1 + ifuReq2 + ifuReq3 + commitUpdate2 + commitUpdate
542378f00d9SJenius  val ftq_pc_mem = Module(new FtqPcMemWrapper(1))
5436bf9b30dSLingrui98  // resp from uBTB
544c5c5edaeSJenius  ftq_pc_mem.io.wen := bpu_in_fire
545c5c5edaeSJenius  ftq_pc_mem.io.waddr := bpu_in_resp_idx
546c5c5edaeSJenius  ftq_pc_mem.io.wdata.fromBranchPrediction(bpu_in_resp)
54709c6f1ddSLingrui98
54809c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
54909c6f1ddSLingrui98  val ftq_redirect_sram = Module(new FtqNRSRAM(new Ftq_Redirect_SRAMEntry, 1+1+1))
55009c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
55109c6f1ddSLingrui98  ftq_redirect_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
55209c6f1ddSLingrui98  ftq_redirect_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
553c2d1ec7dSLingrui98  ftq_redirect_sram.io.wdata := io.fromBpu.resp.bits.last_stage_spec_info
55449cbc998SLingrui98  println(f"ftq redirect SRAM: entry ${ftq_redirect_sram.io.wdata.getWidth} * ${FtqSize} * 3")
55549cbc998SLingrui98  println(f"ftq redirect SRAM: ahead fh ${ftq_redirect_sram.io.wdata.afhob.getWidth} * ${FtqSize} * 3")
55609c6f1ddSLingrui98
55709c6f1ddSLingrui98  val ftq_meta_1r_sram = Module(new FtqNRSRAM(new Ftq_1R_SRAMEntry, 1))
55809c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
55909c6f1ddSLingrui98  ftq_meta_1r_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
56009c6f1ddSLingrui98  ftq_meta_1r_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
561c2d1ec7dSLingrui98  ftq_meta_1r_sram.io.wdata.meta := io.fromBpu.resp.bits.last_stage_meta
56209c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
56309c6f1ddSLingrui98  val ftb_entry_mem = Module(new SyncDataModuleTemplate(new FTBEntry, FtqSize, 1+1+1, 1))
56409c6f1ddSLingrui98  ftb_entry_mem.io.wen(0) := io.fromBpu.resp.bits.lastStage.valid
56509c6f1ddSLingrui98  ftb_entry_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
566c2d1ec7dSLingrui98  ftb_entry_mem.io.wdata(0) := io.fromBpu.resp.bits.last_stage_ftb_entry
56709c6f1ddSLingrui98
56809c6f1ddSLingrui98
56909c6f1ddSLingrui98  // multi-write
570b0ed7239SLingrui98  val update_target = Reg(Vec(FtqSize, UInt(VAddrBits.W))) // could be taken target or fallThrough //TODO: remove this
5716bf9b30dSLingrui98  val newest_entry_target = Reg(UInt(VAddrBits.W))
5726bf9b30dSLingrui98  val newest_entry_ptr = Reg(new FtqPtr)
57309c6f1ddSLingrui98  val cfiIndex_vec = Reg(Vec(FtqSize, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))))
57409c6f1ddSLingrui98  val mispredict_vec = Reg(Vec(FtqSize, Vec(PredictWidth, Bool())))
57509c6f1ddSLingrui98  val pred_stage = Reg(Vec(FtqSize, UInt(2.W)))
57609c6f1ddSLingrui98
577b5808fc2Ssfencevma  val c_invalid :: c_valid :: c_commited :: Nil = Enum(3)
57809c6f1ddSLingrui98  val commitStateQueue = RegInit(VecInit(Seq.fill(FtqSize) {
57909c6f1ddSLingrui98    VecInit(Seq.fill(PredictWidth)(c_invalid))
58009c6f1ddSLingrui98  }))
58109c6f1ddSLingrui98
58209c6f1ddSLingrui98  val f_to_send :: f_sent :: Nil = Enum(2)
58309c6f1ddSLingrui98  val entry_fetch_status = RegInit(VecInit(Seq.fill(FtqSize)(f_sent)))
58409c6f1ddSLingrui98
58509c6f1ddSLingrui98  val h_not_hit :: h_false_hit :: h_hit :: Nil = Enum(3)
58609c6f1ddSLingrui98  val entry_hit_status = RegInit(VecInit(Seq.fill(FtqSize)(h_not_hit)))
58709c6f1ddSLingrui98
588f63797a4SLingrui98  // modify registers one cycle later to cut critical path
589f63797a4SLingrui98  val last_cycle_bpu_in = RegNext(bpu_in_fire)
5906bf9b30dSLingrui98  val last_cycle_bpu_in_ptr = RegNext(bpu_in_resp_ptr)
5916bf9b30dSLingrui98  val last_cycle_bpu_in_idx = last_cycle_bpu_in_ptr.value
5926bf9b30dSLingrui98  val last_cycle_bpu_target = RegNext(bpu_in_resp.getTarget)
593f63797a4SLingrui98  val last_cycle_cfiIndex = RegNext(bpu_in_resp.cfiIndex)
594f63797a4SLingrui98  val last_cycle_bpu_in_stage = RegNext(bpu_in_stage)
595f56177cbSJenius
5967be982afSLingrui98  def extra_copyNum_for_commitStateQueue = 2
5977be982afSLingrui98  val copied_last_cycle_bpu_in = VecInit(Seq.fill(copyNum+extra_copyNum_for_commitStateQueue)(RegNext(bpu_in_fire)))
5987be982afSLingrui98  val copied_last_cycle_bpu_in_ptr_for_ftq = VecInit(Seq.fill(extra_copyNum_for_commitStateQueue)(RegNext(bpu_in_resp_ptr)))
599f56177cbSJenius
600f63797a4SLingrui98  when (last_cycle_bpu_in) {
601f63797a4SLingrui98    entry_fetch_status(last_cycle_bpu_in_idx) := f_to_send
602f63797a4SLingrui98    cfiIndex_vec(last_cycle_bpu_in_idx) := last_cycle_cfiIndex
603f63797a4SLingrui98    pred_stage(last_cycle_bpu_in_idx) := last_cycle_bpu_in_stage
6046bf9b30dSLingrui98
605b0ed7239SLingrui98    update_target(last_cycle_bpu_in_idx) := last_cycle_bpu_target // TODO: remove this
6066bf9b30dSLingrui98    newest_entry_target := last_cycle_bpu_target
6076bf9b30dSLingrui98    newest_entry_ptr := last_cycle_bpu_in_ptr
60809c6f1ddSLingrui98  }
60909c6f1ddSLingrui98
6107be982afSLingrui98  // reduce fanout by delay write for a cycle
6117be982afSLingrui98  when (RegNext(last_cycle_bpu_in)) {
6127be982afSLingrui98    mispredict_vec(RegNext(last_cycle_bpu_in_idx)) := WireInit(VecInit(Seq.fill(PredictWidth)(false.B)))
6137be982afSLingrui98  }
6147be982afSLingrui98
6157be982afSLingrui98  // reduce fanout using copied last_cycle_bpu_in and copied last_cycle_bpu_in_ptr
6167be982afSLingrui98  val copied_last_cycle_bpu_in_for_ftq = copied_last_cycle_bpu_in.takeRight(extra_copyNum_for_commitStateQueue)
6177be982afSLingrui98  copied_last_cycle_bpu_in_for_ftq.zip(copied_last_cycle_bpu_in_ptr_for_ftq).zipWithIndex.map {
6187be982afSLingrui98    case ((in, ptr), i) =>
6197be982afSLingrui98      when (in) {
6207be982afSLingrui98        val perSetEntries = FtqSize / extra_copyNum_for_commitStateQueue // 32
6217be982afSLingrui98        require(FtqSize % extra_copyNum_for_commitStateQueue == 0)
6227be982afSLingrui98        for (j <- 0 until perSetEntries) {
6239361b0c5SLingrui98          when (ptr.value === (i*perSetEntries+j).U) {
6247be982afSLingrui98            commitStateQueue(i*perSetEntries+j) := VecInit(Seq.fill(PredictWidth)(c_invalid))
6257be982afSLingrui98          }
6267be982afSLingrui98        }
6277be982afSLingrui98      }
6289361b0c5SLingrui98  }
6297be982afSLingrui98
630873dc383SLingrui98  // num cycle is fixed
631873dc383SLingrui98  io.toBackend.newest_entry_ptr := RegNext(newest_entry_ptr)
632873dc383SLingrui98  io.toBackend.newest_entry_target := RegNext(newest_entry_target)
633873dc383SLingrui98
634f63797a4SLingrui98
63509c6f1ddSLingrui98  bpuPtr := bpuPtr + enq_fire
636dc270d3bSJenius  copied_bpu_ptr.map(_ := bpuPtr + enq_fire)
637c9bc5480SLingrui98  when (io.toIfu.req.fire && allowToIfu) {
638c5c5edaeSJenius    ifuPtr_write := ifuPtrPlus1
6396bf9b30dSLingrui98    ifuPtrPlus1_write := ifuPtrPlus2
6406bf9b30dSLingrui98    ifuPtrPlus2_write := ifuPtrPlus2 + 1.U
641c9bc5480SLingrui98  }
64209c6f1ddSLingrui98
64309c6f1ddSLingrui98  // only use ftb result to assign hit status
64409c6f1ddSLingrui98  when (bpu_s2_resp.valid) {
645b37e4b45SLingrui98    entry_hit_status(bpu_s2_resp.ftq_idx.value) := Mux(bpu_s2_resp.full_pred.hit, h_hit, h_not_hit)
64609c6f1ddSLingrui98  }
64709c6f1ddSLingrui98
64809c6f1ddSLingrui98
6492f4a3aa4SLingrui98  io.toIfu.flushFromBpu.s2.valid := bpu_s2_redirect
65009c6f1ddSLingrui98  io.toIfu.flushFromBpu.s2.bits := bpu_s2_resp.ftq_idx
65109c6f1ddSLingrui98  when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect) {
65209c6f1ddSLingrui98    bpuPtr := bpu_s2_resp.ftq_idx + 1.U
653dc270d3bSJenius    copied_bpu_ptr.map(_ := bpu_s2_resp.ftq_idx + 1.U)
65409c6f1ddSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
65509c6f1ddSLingrui98    when (!isBefore(ifuPtr, bpu_s2_resp.ftq_idx)) {
656c5c5edaeSJenius      ifuPtr_write := bpu_s2_resp.ftq_idx
657c5c5edaeSJenius      ifuPtrPlus1_write := bpu_s2_resp.ftq_idx + 1.U
6586bf9b30dSLingrui98      ifuPtrPlus2_write := bpu_s2_resp.ftq_idx + 2.U
65909c6f1ddSLingrui98    }
66009c6f1ddSLingrui98  }
66109c6f1ddSLingrui98
662cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.valid := bpu_s3_redirect
663cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.bits := bpu_s3_resp.ftq_idx
664cb4f77ceSLingrui98  when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect) {
665cb4f77ceSLingrui98    bpuPtr := bpu_s3_resp.ftq_idx + 1.U
666dc270d3bSJenius    copied_bpu_ptr.map(_ := bpu_s3_resp.ftq_idx + 1.U)
667cb4f77ceSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
668cb4f77ceSLingrui98    when (!isBefore(ifuPtr, bpu_s3_resp.ftq_idx)) {
669c5c5edaeSJenius      ifuPtr_write := bpu_s3_resp.ftq_idx
670c5c5edaeSJenius      ifuPtrPlus1_write := bpu_s3_resp.ftq_idx + 1.U
6716bf9b30dSLingrui98      ifuPtrPlus2_write := bpu_s3_resp.ftq_idx + 2.U
672cb4f77ceSLingrui98    }
673cb4f77ceSLingrui98  }
674cb4f77ceSLingrui98
67509c6f1ddSLingrui98  XSError(isBefore(bpuPtr, ifuPtr) && !isFull(bpuPtr, ifuPtr), "\nifuPtr is before bpuPtr!\n")
6762448f137SGuokai Chen  XSError(isBefore(ifuWbPtr, commPtr) && !isFull(ifuWbPtr, commPtr), "\ncommPtr is before ifuWbPtr!\n")
67709c6f1ddSLingrui98
678dc270d3bSJenius  (0 until copyNum).map{i =>
679dc270d3bSJenius    XSError(copied_bpu_ptr(i) =/= bpuPtr, "\ncopiedBpuPtr is different from bpuPtr!\n")
680dc270d3bSJenius  }
681dc270d3bSJenius
68209c6f1ddSLingrui98  // ****************************************************************
68309c6f1ddSLingrui98  // **************************** to ifu ****************************
68409c6f1ddSLingrui98  // ****************************************************************
685f22cf846SJenius  // 0  for ifu, and 1-4 for ICache
686f56177cbSJenius  val bpu_in_bypass_buf = RegEnable(ftq_pc_mem.io.wdata, enable=bpu_in_fire)
687f56177cbSJenius  val copied_bpu_in_bypass_buf = VecInit(Seq.fill(copyNum)(RegEnable(ftq_pc_mem.io.wdata, enable=bpu_in_fire)))
688f56177cbSJenius  val bpu_in_bypass_buf_for_ifu = bpu_in_bypass_buf
68909c6f1ddSLingrui98  val bpu_in_bypass_ptr = RegNext(bpu_in_resp_ptr)
69009c6f1ddSLingrui98  val last_cycle_to_ifu_fire = RegNext(io.toIfu.req.fire)
69109c6f1ddSLingrui98
692f56177cbSJenius  val copied_bpu_in_bypass_ptr = VecInit(Seq.fill(copyNum)(RegNext(bpu_in_resp_ptr)))
693f56177cbSJenius  val copied_last_cycle_to_ifu_fire = VecInit(Seq.fill(copyNum)(RegNext(io.toIfu.req.fire)))
69488bc4f90SLingrui98
69509c6f1ddSLingrui98  // read pc and target
6966bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtr_w       := ifuPtr_write
6976bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtrPlus1_w  := ifuPtrPlus1_write
6986bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtrPlus2_w  := ifuPtrPlus2_write
6996bf9b30dSLingrui98  ftq_pc_mem.io.commPtr_w      := commPtr_write
7006bf9b30dSLingrui98  ftq_pc_mem.io.commPtrPlus1_w := commPtrPlus1_write
701c5c5edaeSJenius
70209c6f1ddSLingrui98
7035ff19bd8SLingrui98  io.toIfu.req.bits.ftqIdx := ifuPtr
704f63797a4SLingrui98
705f56177cbSJenius  val toICachePcBundle = Wire(Vec(copyNum,new Ftq_RF_Components))
706dc270d3bSJenius  val toICacheEntryToSend = Wire(Vec(copyNum,Bool()))
707b37e4b45SLingrui98  val toIfuPcBundle = Wire(new Ftq_RF_Components)
708f63797a4SLingrui98  val entry_is_to_send = WireInit(entry_fetch_status(ifuPtr.value) === f_to_send)
709f63797a4SLingrui98  val entry_ftq_offset = WireInit(cfiIndex_vec(ifuPtr.value))
7106bf9b30dSLingrui98  val entry_next_addr  = Wire(UInt(VAddrBits.W))
711b004fa13SJenius
712f56177cbSJenius  val pc_mem_ifu_ptr_rdata   = VecInit(Seq.fill(copyNum)(RegNext(ftq_pc_mem.io.ifuPtr_rdata)))
713f56177cbSJenius  val pc_mem_ifu_plus1_rdata = VecInit(Seq.fill(copyNum)(RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata)))
714b0ed7239SLingrui98  val diff_entry_next_addr = WireInit(update_target(ifuPtr.value)) //TODO: remove this
715f63797a4SLingrui98
716dc270d3bSJenius  val copied_ifu_plus1_to_send = VecInit(Seq.fill(copyNum)(RegNext(entry_fetch_status(ifuPtrPlus1.value) === f_to_send) || RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1))))
717dc270d3bSJenius  val copied_ifu_ptr_to_send   = VecInit(Seq.fill(copyNum)(RegNext(entry_fetch_status(ifuPtr.value) === f_to_send) || RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr)))
718dc270d3bSJenius
719f56177cbSJenius  for(i <- 0 until copyNum){
720f56177cbSJenius    when(copied_last_cycle_bpu_in(i) && copied_bpu_in_bypass_ptr(i) === copied_ifu_ptr(i)){
721f56177cbSJenius      toICachePcBundle(i) := copied_bpu_in_bypass_buf(i)
722dc270d3bSJenius      toICacheEntryToSend(i)   := true.B
723f56177cbSJenius    }.elsewhen(copied_last_cycle_to_ifu_fire(i)){
724f56177cbSJenius      toICachePcBundle(i) := pc_mem_ifu_plus1_rdata(i)
725dc270d3bSJenius      toICacheEntryToSend(i)   := copied_ifu_plus1_to_send(i)
726f56177cbSJenius    }.otherwise{
727f56177cbSJenius      toICachePcBundle(i) := pc_mem_ifu_ptr_rdata(i)
728dc270d3bSJenius      toICacheEntryToSend(i)   := copied_ifu_ptr_to_send(i)
729f56177cbSJenius    }
730f56177cbSJenius  }
731f56177cbSJenius
732873dc383SLingrui98  // TODO: reconsider target address bypass logic
73309c6f1ddSLingrui98  when (last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) {
73488bc4f90SLingrui98    toIfuPcBundle := bpu_in_bypass_buf_for_ifu
735f678dd91SSteve Gou    entry_is_to_send := true.B
7366bf9b30dSLingrui98    entry_next_addr := last_cycle_bpu_target
737f63797a4SLingrui98    entry_ftq_offset := last_cycle_cfiIndex
738b0ed7239SLingrui98    diff_entry_next_addr := last_cycle_bpu_target // TODO: remove this
73909c6f1ddSLingrui98  }.elsewhen (last_cycle_to_ifu_fire) {
740c5c5edaeSJenius    toIfuPcBundle := RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata)
741c5c5edaeSJenius    entry_is_to_send := RegNext(entry_fetch_status(ifuPtrPlus1.value) === f_to_send) ||
742c5c5edaeSJenius                        RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1)) // reduce potential bubbles
743ed434d67SLingrui98    entry_next_addr := Mux(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1),
74488bc4f90SLingrui98                          bpu_in_bypass_buf_for_ifu.startAddr,
745fef810c0SLingrui98                          Mux(ifuPtr === newest_entry_ptr,
7466bf9b30dSLingrui98                            newest_entry_target,
747f83ef67eSLingrui98                            RegNext(ftq_pc_mem.io.ifuPtrPlus2_rdata.startAddr))) // ifuPtr+2
748c5c5edaeSJenius  }.otherwise {
749c5c5edaeSJenius    toIfuPcBundle := RegNext(ftq_pc_mem.io.ifuPtr_rdata)
75028f2cf58SLingrui98    entry_is_to_send := RegNext(entry_fetch_status(ifuPtr.value) === f_to_send) ||
75128f2cf58SLingrui98                        RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) // reduce potential bubbles
7526bf9b30dSLingrui98    entry_next_addr := Mux(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1),
75388bc4f90SLingrui98                          bpu_in_bypass_buf_for_ifu.startAddr,
754fef810c0SLingrui98                          Mux(ifuPtr === newest_entry_ptr,
7556bf9b30dSLingrui98                            newest_entry_target,
756f83ef67eSLingrui98                            RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata.startAddr))) // ifuPtr+1
75709c6f1ddSLingrui98  }
75809c6f1ddSLingrui98
759f678dd91SSteve Gou  io.toIfu.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
760f63797a4SLingrui98  io.toIfu.req.bits.nextStartAddr := entry_next_addr
761f63797a4SLingrui98  io.toIfu.req.bits.ftqOffset := entry_ftq_offset
762b37e4b45SLingrui98  io.toIfu.req.bits.fromFtqPcBundle(toIfuPcBundle)
763c5c5edaeSJenius
764c5c5edaeSJenius  io.toICache.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
765dc270d3bSJenius  io.toICache.req.bits.readValid.zipWithIndex.map{case(copy, i) => copy := toICacheEntryToSend(i) && copied_ifu_ptr(i) =/= copied_bpu_ptr(i)}
766b004fa13SJenius  io.toICache.req.bits.pcMemRead.zipWithIndex.map{case(copy,i) => copy.fromFtqPcBundle(toICachePcBundle(i))}
767b004fa13SJenius  // io.toICache.req.bits.bypassSelect := last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr
768b004fa13SJenius  // io.toICache.req.bits.bpuBypassWrite.zipWithIndex.map{case(bypassWrtie, i) =>
769b004fa13SJenius  //   bypassWrtie.startAddr := bpu_in_bypass_buf.tail(i).startAddr
770b004fa13SJenius  //   bypassWrtie.nextlineStart := bpu_in_bypass_buf.tail(i).nextLineAddr
771b004fa13SJenius  // }
772f22cf846SJenius
773b0ed7239SLingrui98  // TODO: remove this
774b0ed7239SLingrui98  XSError(io.toIfu.req.valid && diff_entry_next_addr =/= entry_next_addr,
7755a674179SLingrui98          p"\nifu_req_target wrong! ifuPtr: ${ifuPtr}, entry_next_addr: ${Hexadecimal(entry_next_addr)} diff_entry_next_addr: ${Hexadecimal(diff_entry_next_addr)}\n")
776b0ed7239SLingrui98
77709c6f1ddSLingrui98  // when fall through is smaller in value than start address, there must be a false hit
778b37e4b45SLingrui98  when (toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit) {
77909c6f1ddSLingrui98    when (io.toIfu.req.fire &&
780cb4f77ceSLingrui98      !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) &&
781cb4f77ceSLingrui98      !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr)
78209c6f1ddSLingrui98    ) {
78309c6f1ddSLingrui98      entry_hit_status(ifuPtr.value) := h_false_hit
784352db50aSLingrui98      // XSError(true.B, "FTB false hit by fallThroughError, startAddr: %x, fallTHru: %x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
78509c6f1ddSLingrui98    }
786b37e4b45SLingrui98    XSDebug(true.B, "fallThruError! start:%x, fallThru:%x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
78709c6f1ddSLingrui98  }
78809c6f1ddSLingrui98
789a60a2901SLingrui98  XSPerfAccumulate(f"fall_through_error_to_ifu", toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit &&
790a60a2901SLingrui98    io.toIfu.req.fire && !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) && !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr))
791a60a2901SLingrui98
79209c6f1ddSLingrui98  val ifu_req_should_be_flushed =
793cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage2(io.toIfu.req.bits.ftqIdx) ||
794cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage3(io.toIfu.req.bits.ftqIdx)
79509c6f1ddSLingrui98
79609c6f1ddSLingrui98    when (io.toIfu.req.fire && !ifu_req_should_be_flushed) {
79709c6f1ddSLingrui98      entry_fetch_status(ifuPtr.value) := f_sent
79809c6f1ddSLingrui98    }
79909c6f1ddSLingrui98
80009c6f1ddSLingrui98  // *********************************************************************
80109c6f1ddSLingrui98  // **************************** wb from ifu ****************************
80209c6f1ddSLingrui98  // *********************************************************************
80309c6f1ddSLingrui98  val pdWb = io.fromIfu.pdWb
80409c6f1ddSLingrui98  val pds = pdWb.bits.pd
80509c6f1ddSLingrui98  val ifu_wb_valid = pdWb.valid
80609c6f1ddSLingrui98  val ifu_wb_idx = pdWb.bits.ftqIdx.value
80709c6f1ddSLingrui98  // read ports:                                                         commit update
80809c6f1ddSLingrui98  val ftq_pd_mem = Module(new SyncDataModuleTemplate(new Ftq_pd_Entry, FtqSize, 1, 1))
80909c6f1ddSLingrui98  ftq_pd_mem.io.wen(0) := ifu_wb_valid
81009c6f1ddSLingrui98  ftq_pd_mem.io.waddr(0) := pdWb.bits.ftqIdx.value
81109c6f1ddSLingrui98  ftq_pd_mem.io.wdata(0).fromPdWb(pdWb.bits)
81209c6f1ddSLingrui98
81309c6f1ddSLingrui98  val hit_pd_valid = entry_hit_status(ifu_wb_idx) === h_hit && ifu_wb_valid
81409c6f1ddSLingrui98  val hit_pd_mispred = hit_pd_valid && pdWb.bits.misOffset.valid
81509c6f1ddSLingrui98  val hit_pd_mispred_reg = RegNext(hit_pd_mispred, init=false.B)
816005e809bSJiuyang Liu  val pd_reg       = RegEnable(pds,             pdWb.valid)
817005e809bSJiuyang Liu  val start_pc_reg = RegEnable(pdWb.bits.pc(0), pdWb.valid)
818005e809bSJiuyang Liu  val wb_idx_reg   = RegEnable(ifu_wb_idx,      pdWb.valid)
81909c6f1ddSLingrui98
82009c6f1ddSLingrui98  when (ifu_wb_valid) {
82109c6f1ddSLingrui98    val comm_stq_wen = VecInit(pds.map(_.valid).zip(pdWb.bits.instrRange).map{
82209c6f1ddSLingrui98      case (v, inRange) => v && inRange
82309c6f1ddSLingrui98    })
82409c6f1ddSLingrui98    (commitStateQueue(ifu_wb_idx) zip comm_stq_wen).map{
82509c6f1ddSLingrui98      case (qe, v) => when (v) { qe := c_valid }
82609c6f1ddSLingrui98    }
82709c6f1ddSLingrui98  }
82809c6f1ddSLingrui98
829c5c5edaeSJenius  when (ifu_wb_valid) {
830c5c5edaeSJenius    ifuWbPtr_write := ifuWbPtr + 1.U
831c5c5edaeSJenius  }
83209c6f1ddSLingrui98
833f21bbcb2SGuokai Chen  XSError(ifu_wb_valid && isAfter(pdWb.bits.ftqIdx, ifuPtr), "IFU returned a predecode before its req, check IFU")
834f21bbcb2SGuokai Chen
83509c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := ifu_wb_idx
83609c6f1ddSLingrui98  val has_false_hit = WireInit(false.B)
83709c6f1ddSLingrui98  when (RegNext(hit_pd_valid)) {
83809c6f1ddSLingrui98    // check for false hit
83909c6f1ddSLingrui98    val pred_ftb_entry = ftb_entry_mem.io.rdata.head
840eeb5ff92SLingrui98    val brSlots = pred_ftb_entry.brSlots
841eeb5ff92SLingrui98    val tailSlot = pred_ftb_entry.tailSlot
84209c6f1ddSLingrui98    // we check cfis that bpu predicted
84309c6f1ddSLingrui98
844eeb5ff92SLingrui98    // bpu predicted branches but denied by predecode
845eeb5ff92SLingrui98    val br_false_hit =
846eeb5ff92SLingrui98      brSlots.map{
847eeb5ff92SLingrui98        s => s.valid && !(pd_reg(s.offset).valid && pd_reg(s.offset).isBr)
848eeb5ff92SLingrui98      }.reduce(_||_) ||
849b37e4b45SLingrui98      (tailSlot.valid && pred_ftb_entry.tailSlot.sharing &&
850eeb5ff92SLingrui98        !(pd_reg(tailSlot.offset).valid && pd_reg(tailSlot.offset).isBr))
851eeb5ff92SLingrui98
852eeb5ff92SLingrui98    val jmpOffset = tailSlot.offset
85309c6f1ddSLingrui98    val jmp_pd = pd_reg(jmpOffset)
85409c6f1ddSLingrui98    val jal_false_hit = pred_ftb_entry.jmpValid &&
85509c6f1ddSLingrui98      ((pred_ftb_entry.isJal  && !(jmp_pd.valid && jmp_pd.isJal)) ||
85609c6f1ddSLingrui98       (pred_ftb_entry.isJalr && !(jmp_pd.valid && jmp_pd.isJalr)) ||
85709c6f1ddSLingrui98       (pred_ftb_entry.isCall && !(jmp_pd.valid && jmp_pd.isCall)) ||
85809c6f1ddSLingrui98       (pred_ftb_entry.isRet  && !(jmp_pd.valid && jmp_pd.isRet))
85909c6f1ddSLingrui98      )
86009c6f1ddSLingrui98
86109c6f1ddSLingrui98    has_false_hit := br_false_hit || jal_false_hit || hit_pd_mispred_reg
86265fddcf0Szoujr    XSDebug(has_false_hit, "FTB false hit by br or jal or hit_pd, startAddr: %x\n", pdWb.bits.pc(0))
86365fddcf0Szoujr
864352db50aSLingrui98    // assert(!has_false_hit)
86509c6f1ddSLingrui98  }
86609c6f1ddSLingrui98
86709c6f1ddSLingrui98  when (has_false_hit) {
86809c6f1ddSLingrui98    entry_hit_status(wb_idx_reg) := h_false_hit
86909c6f1ddSLingrui98  }
87009c6f1ddSLingrui98
87109c6f1ddSLingrui98
87209c6f1ddSLingrui98  // **********************************************************************
873b56f947eSYinan Xu  // ***************************** to backend *****************************
87409c6f1ddSLingrui98  // **********************************************************************
875b56f947eSYinan Xu  // to backend pc mem / target
876b56f947eSYinan Xu  io.toBackend.pc_mem_wen   := RegNext(last_cycle_bpu_in)
877b56f947eSYinan Xu  io.toBackend.pc_mem_waddr := RegNext(last_cycle_bpu_in_idx)
87888bc4f90SLingrui98  io.toBackend.pc_mem_wdata := RegNext(bpu_in_bypass_buf_for_ifu)
87909c6f1ddSLingrui98
88009c6f1ddSLingrui98  // *******************************************************************************
88109c6f1ddSLingrui98  // **************************** redirect from backend ****************************
88209c6f1ddSLingrui98  // *******************************************************************************
88309c6f1ddSLingrui98
88409c6f1ddSLingrui98  // redirect read cfiInfo, couples to redirectGen s2
8852e1be6e1SSteve Gou  ftq_redirect_sram.io.ren.init.last := backendRedirect.valid
8862e1be6e1SSteve Gou  ftq_redirect_sram.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
88709c6f1ddSLingrui98
8882e1be6e1SSteve Gou  ftb_entry_mem.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
88909c6f1ddSLingrui98
89009c6f1ddSLingrui98  val stage3CfiInfo = ftq_redirect_sram.io.rdata.init.last
891df5b4b8eSYinan Xu  val fromBackendRedirect = WireInit(backendRedirectReg)
89209c6f1ddSLingrui98  val backendRedirectCfi = fromBackendRedirect.bits.cfiUpdate
89309c6f1ddSLingrui98  backendRedirectCfi.fromFtqRedirectSram(stage3CfiInfo)
89409c6f1ddSLingrui98
895*d2b20d1aSTang Haojin
89609c6f1ddSLingrui98  val r_ftb_entry = ftb_entry_mem.io.rdata.init.last
89709c6f1ddSLingrui98  val r_ftqOffset = fromBackendRedirect.bits.ftqOffset
89809c6f1ddSLingrui98
899*d2b20d1aSTang Haojin  backendRedirectCfi.br_hit := r_ftb_entry.brIsSaved(r_ftqOffset)
900*d2b20d1aSTang Haojin  backendRedirectCfi.jr_hit := r_ftb_entry.isJalr && r_ftb_entry.tailSlot.offset === r_ftqOffset
901*d2b20d1aSTang Haojin  backendRedirectCfi.sc_hit := backendRedirectCfi.br_hit && Mux(r_ftb_entry.brSlots(0).offset === r_ftqOffset,
902*d2b20d1aSTang Haojin      r_ftb_entry.brSlots(0).sc, r_ftb_entry.tailSlot.sc)
903*d2b20d1aSTang Haojin
90409c6f1ddSLingrui98  when (entry_hit_status(fromBackendRedirect.bits.ftqIdx.value) === h_hit) {
90509c6f1ddSLingrui98    backendRedirectCfi.shift := PopCount(r_ftb_entry.getBrMaskByOffset(r_ftqOffset)) +&
90609c6f1ddSLingrui98      (backendRedirectCfi.pd.isBr && !r_ftb_entry.brIsSaved(r_ftqOffset) &&
907eeb5ff92SLingrui98      !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
90809c6f1ddSLingrui98
90909c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr && (r_ftb_entry.brIsSaved(r_ftqOffset) ||
910eeb5ff92SLingrui98        !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
91109c6f1ddSLingrui98  }.otherwise {
91209c6f1ddSLingrui98    backendRedirectCfi.shift := (backendRedirectCfi.pd.isBr && backendRedirectCfi.taken).asUInt
91309c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr.asUInt
91409c6f1ddSLingrui98  }
91509c6f1ddSLingrui98
91609c6f1ddSLingrui98
91709c6f1ddSLingrui98  // ***************************************************************************
91809c6f1ddSLingrui98  // **************************** redirect from ifu ****************************
91909c6f1ddSLingrui98  // ***************************************************************************
920*d2b20d1aSTang Haojin  val fromIfuRedirect = WireInit(0.U.asTypeOf(Valid(new BranchPredictionRedirect)))
92109c6f1ddSLingrui98  fromIfuRedirect.valid := pdWb.valid && pdWb.bits.misOffset.valid && !backendFlush
92209c6f1ddSLingrui98  fromIfuRedirect.bits.ftqIdx := pdWb.bits.ftqIdx
92309c6f1ddSLingrui98  fromIfuRedirect.bits.ftqOffset := pdWb.bits.misOffset.bits
92409c6f1ddSLingrui98  fromIfuRedirect.bits.level := RedirectLevel.flushAfter
925*d2b20d1aSTang Haojin  fromIfuRedirect.bits.BTBMissBubble := true.B
926*d2b20d1aSTang Haojin  fromIfuRedirect.bits.debugIsMemVio := false.B
927*d2b20d1aSTang Haojin  fromIfuRedirect.bits.debugIsCtrl := false.B
92809c6f1ddSLingrui98
92909c6f1ddSLingrui98  val ifuRedirectCfiUpdate = fromIfuRedirect.bits.cfiUpdate
93009c6f1ddSLingrui98  ifuRedirectCfiUpdate.pc := pdWb.bits.pc(pdWb.bits.misOffset.bits)
93109c6f1ddSLingrui98  ifuRedirectCfiUpdate.pd := pdWb.bits.pd(pdWb.bits.misOffset.bits)
93209c6f1ddSLingrui98  ifuRedirectCfiUpdate.predTaken := cfiIndex_vec(pdWb.bits.ftqIdx.value).valid
93309c6f1ddSLingrui98  ifuRedirectCfiUpdate.target := pdWb.bits.target
93409c6f1ddSLingrui98  ifuRedirectCfiUpdate.taken := pdWb.bits.cfiOffset.valid
93509c6f1ddSLingrui98  ifuRedirectCfiUpdate.isMisPred := pdWb.bits.misOffset.valid
93609c6f1ddSLingrui98
937*d2b20d1aSTang Haojin  val ifuRedirectReg = RegNext(fromIfuRedirect, init=0.U.asTypeOf(Valid(new BranchPredictionRedirect)))
93809c6f1ddSLingrui98  val ifuRedirectToBpu = WireInit(ifuRedirectReg)
93909c6f1ddSLingrui98  ifuFlush := fromIfuRedirect.valid || ifuRedirectToBpu.valid
94009c6f1ddSLingrui98
94109c6f1ddSLingrui98  ftq_redirect_sram.io.ren.head := fromIfuRedirect.valid
94209c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
94309c6f1ddSLingrui98
94409c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
94509c6f1ddSLingrui98
94609c6f1ddSLingrui98  val toBpuCfi = ifuRedirectToBpu.bits.cfiUpdate
94709c6f1ddSLingrui98  toBpuCfi.fromFtqRedirectSram(ftq_redirect_sram.io.rdata.head)
94809c6f1ddSLingrui98  when (ifuRedirectReg.bits.cfiUpdate.pd.isRet) {
94909c6f1ddSLingrui98    toBpuCfi.target := toBpuCfi.rasEntry.retAddr
95009c6f1ddSLingrui98  }
95109c6f1ddSLingrui98
952*d2b20d1aSTang Haojin  when (ifuRedirectReg.valid) {
953*d2b20d1aSTang Haojin    ifuRedirected(ifuRedirectReg.bits.ftqIdx.value) := true.B
954*d2b20d1aSTang Haojin  } .elsewhen(RegNext(pdWb.valid)) {
955*d2b20d1aSTang Haojin    // if pdWb and no redirect, set to false
956*d2b20d1aSTang Haojin    ifuRedirected(last_cycle_bpu_in_ptr.value) := false.B
957*d2b20d1aSTang Haojin  }
958*d2b20d1aSTang Haojin
95909c6f1ddSLingrui98  // *********************************************************************
96009c6f1ddSLingrui98  // **************************** wb from exu ****************************
96109c6f1ddSLingrui98  // *********************************************************************
96209c6f1ddSLingrui98
963*d2b20d1aSTang Haojin  backendRedirect.valid := io.fromBackend.redirect.valid
964*d2b20d1aSTang Haojin  backendRedirect.bits.connectRedirect(io.fromBackend.redirect.bits)
965*d2b20d1aSTang Haojin  backendRedirect.bits.BTBMissBubble := false.B
966*d2b20d1aSTang Haojin
9672e1be6e1SSteve Gou
96809c6f1ddSLingrui98  def extractRedirectInfo(wb: Valid[Redirect]) = {
9696bf9b30dSLingrui98    val ftqPtr = wb.bits.ftqIdx
97009c6f1ddSLingrui98    val ftqOffset = wb.bits.ftqOffset
97109c6f1ddSLingrui98    val taken = wb.bits.cfiUpdate.taken
97209c6f1ddSLingrui98    val mispred = wb.bits.cfiUpdate.isMisPred
9736bf9b30dSLingrui98    (wb.valid, ftqPtr, ftqOffset, taken, mispred)
97409c6f1ddSLingrui98  }
97509c6f1ddSLingrui98
97609c6f1ddSLingrui98  // fix mispredict entry
97709c6f1ddSLingrui98  val lastIsMispredict = RegNext(
978df5b4b8eSYinan Xu    backendRedirect.valid && backendRedirect.bits.level === RedirectLevel.flushAfter, init = false.B
97909c6f1ddSLingrui98  )
98009c6f1ddSLingrui98
98109c6f1ddSLingrui98  def updateCfiInfo(redirect: Valid[Redirect], isBackend: Boolean = true) = {
9826bf9b30dSLingrui98    val (r_valid, r_ptr, r_offset, r_taken, r_mispred) = extractRedirectInfo(redirect)
9836bf9b30dSLingrui98    val r_idx = r_ptr.value
98409c6f1ddSLingrui98    val cfiIndex_bits_wen = r_valid && r_taken && r_offset < cfiIndex_vec(r_idx).bits
98509c6f1ddSLingrui98    val cfiIndex_valid_wen = r_valid && r_offset === cfiIndex_vec(r_idx).bits
98609c6f1ddSLingrui98    when (cfiIndex_bits_wen || cfiIndex_valid_wen) {
98709c6f1ddSLingrui98      cfiIndex_vec(r_idx).valid := cfiIndex_bits_wen || cfiIndex_valid_wen && r_taken
9883f88c020SGuokai Chen    } .elsewhen (r_valid && !r_taken && r_offset =/= cfiIndex_vec(r_idx).bits) {
9893f88c020SGuokai Chen      cfiIndex_vec(r_idx).valid :=false.B
99009c6f1ddSLingrui98    }
99109c6f1ddSLingrui98    when (cfiIndex_bits_wen) {
99209c6f1ddSLingrui98      cfiIndex_vec(r_idx).bits := r_offset
99309c6f1ddSLingrui98    }
9946bf9b30dSLingrui98    newest_entry_target := redirect.bits.cfiUpdate.target
995873dc383SLingrui98    newest_entry_ptr := r_ptr
996b0ed7239SLingrui98    update_target(r_idx) := redirect.bits.cfiUpdate.target // TODO: remove this
99709c6f1ddSLingrui98    if (isBackend) {
99809c6f1ddSLingrui98      mispredict_vec(r_idx)(r_offset) := r_mispred
99909c6f1ddSLingrui98    }
100009c6f1ddSLingrui98  }
100109c6f1ddSLingrui98
100281e362d8SLingrui98  when(backendRedirectReg.valid) {
1003df5b4b8eSYinan Xu    updateCfiInfo(backendRedirectReg)
100409c6f1ddSLingrui98  }.elsewhen (ifuRedirectToBpu.valid) {
100509c6f1ddSLingrui98    updateCfiInfo(ifuRedirectToBpu, isBackend=false)
100609c6f1ddSLingrui98  }
100709c6f1ddSLingrui98
1008*d2b20d1aSTang Haojin  when (backendRedirectReg.valid) {
1009*d2b20d1aSTang Haojin    when (backendRedirectReg.bits.ControlRedirectBubble) {
1010*d2b20d1aSTang Haojin      when (fromBackendRedirect.bits.ControlBTBMissBubble) {
1011*d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.BTBMissBubble.id) := true.B
1012*d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
1013*d2b20d1aSTang Haojin      } .elsewhen (fromBackendRedirect.bits.TAGEMissBubble) {
1014*d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.TAGEMissBubble.id) := true.B
1015*d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.TAGEMissBubble.id) := true.B
1016*d2b20d1aSTang Haojin      } .elsewhen (fromBackendRedirect.bits.SCMissBubble) {
1017*d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.SCMissBubble.id) := true.B
1018*d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.SCMissBubble.id) := true.B
1019*d2b20d1aSTang Haojin      } .elsewhen (fromBackendRedirect.bits.ITTAGEMissBubble) {
1020*d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
1021*d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
1022*d2b20d1aSTang Haojin      } .elsewhen (fromBackendRedirect.bits.RASMissBubble) {
1023*d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.RASMissBubble.id) := true.B
1024*d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.RASMissBubble.id) := true.B
1025*d2b20d1aSTang Haojin      }
1026*d2b20d1aSTang Haojin
1027*d2b20d1aSTang Haojin
1028*d2b20d1aSTang Haojin    } .elsewhen (backendRedirectReg.bits.MemVioRedirectBubble) {
1029*d2b20d1aSTang Haojin      topdown_stage.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
1030*d2b20d1aSTang Haojin      io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
1031*d2b20d1aSTang Haojin    } .otherwise {
1032*d2b20d1aSTang Haojin      topdown_stage.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
1033*d2b20d1aSTang Haojin      io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
1034*d2b20d1aSTang Haojin    }
1035*d2b20d1aSTang Haojin  } .elsewhen (ifuRedirectReg.valid) {
1036*d2b20d1aSTang Haojin    topdown_stage.reasons(TopDownCounters.BTBMissBubble.id) := true.B
1037*d2b20d1aSTang Haojin    io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
1038*d2b20d1aSTang Haojin  }
1039*d2b20d1aSTang Haojin
1040*d2b20d1aSTang Haojin  io.ControlBTBMissBubble := fromBackendRedirect.bits.ControlBTBMissBubble
1041*d2b20d1aSTang Haojin  io.TAGEMissBubble := fromBackendRedirect.bits.TAGEMissBubble
1042*d2b20d1aSTang Haojin  io.SCMissBubble := fromBackendRedirect.bits.SCMissBubble
1043*d2b20d1aSTang Haojin  io.ITTAGEMissBubble := fromBackendRedirect.bits.ITTAGEMissBubble
1044*d2b20d1aSTang Haojin  io.RASMissBubble := fromBackendRedirect.bits.RASMissBubble
1045*d2b20d1aSTang Haojin
104609c6f1ddSLingrui98  // ***********************************************************************************
104709c6f1ddSLingrui98  // **************************** flush ptr and state queue ****************************
104809c6f1ddSLingrui98  // ***********************************************************************************
104909c6f1ddSLingrui98
1050df5b4b8eSYinan Xu  val redirectVec = VecInit(backendRedirect, fromIfuRedirect)
105109c6f1ddSLingrui98
105209c6f1ddSLingrui98  // when redirect, we should reset ptrs and status queues
105309c6f1ddSLingrui98  when(redirectVec.map(r => r.valid).reduce(_||_)){
10542f4a3aa4SLingrui98    val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
105509c6f1ddSLingrui98    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
10562f4a3aa4SLingrui98    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
105709c6f1ddSLingrui98    val next = idx + 1.U
105809c6f1ddSLingrui98    bpuPtr := next
1059dc270d3bSJenius    copied_bpu_ptr.map(_ := next)
1060c5c5edaeSJenius    ifuPtr_write := next
1061c5c5edaeSJenius    ifuWbPtr_write := next
1062c5c5edaeSJenius    ifuPtrPlus1_write := idx + 2.U
10636bf9b30dSLingrui98    ifuPtrPlus2_write := idx + 3.U
10643f88c020SGuokai Chen
10653f88c020SGuokai Chen  }
10663f88c020SGuokai Chen  when(RegNext(redirectVec.map(r => r.valid).reduce(_||_))){
10673f88c020SGuokai Chen    val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
10683f88c020SGuokai Chen    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
10693f88c020SGuokai Chen    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
10703f88c020SGuokai Chen    when (RegNext(notIfu)) {
10713f88c020SGuokai Chen      commitStateQueue(RegNext(idx.value)).zipWithIndex.foreach({ case (s, i) =>
10723f88c020SGuokai Chen        when(i.U > RegNext(offset) || i.U === RegNext(offset) && RegNext(flushItSelf)){
1073b5808fc2Ssfencevma          s := c_invalid
107409c6f1ddSLingrui98        }
107509c6f1ddSLingrui98      })
107609c6f1ddSLingrui98    }
107709c6f1ddSLingrui98  }
107809c6f1ddSLingrui98
10793f88c020SGuokai Chen
108009c6f1ddSLingrui98  // only the valid bit is actually needed
1081df5b4b8eSYinan Xu  io.toIfu.redirect.bits    := backendRedirect.bits
108209c6f1ddSLingrui98  io.toIfu.redirect.valid   := stage2Flush
1083*d2b20d1aSTang Haojin  io.toIfu.topdown_redirect := fromBackendRedirect
108409c6f1ddSLingrui98
108509c6f1ddSLingrui98  // commit
10869aca92b9SYinan Xu  for (c <- io.fromBackend.rob_commits) {
108709c6f1ddSLingrui98    when(c.valid) {
108809c6f1ddSLingrui98      commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset) := c_commited
108988825c5cSYinan Xu      // TODO: remove this
109088825c5cSYinan Xu      // For instruction fusions, we also update the next instruction
1091c3abb8b6SYinan Xu      when (c.bits.commitType === 4.U) {
109288825c5cSYinan Xu        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 1.U) := c_commited
1093c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 5.U) {
109488825c5cSYinan Xu        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 2.U) := c_commited
1095c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 6.U) {
109688825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
109788825c5cSYinan Xu        commitStateQueue(index)(0) := c_commited
1098c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 7.U) {
109988825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
110088825c5cSYinan Xu        commitStateQueue(index)(1) := c_commited
110188825c5cSYinan Xu      }
110209c6f1ddSLingrui98    }
110309c6f1ddSLingrui98  }
110409c6f1ddSLingrui98
110509c6f1ddSLingrui98  // ****************************************************************
110609c6f1ddSLingrui98  // **************************** to bpu ****************************
110709c6f1ddSLingrui98  // ****************************************************************
110809c6f1ddSLingrui98
110951981c77SbugGenerator  io.toBpu.redirect := Mux(fromBackendRedirect.valid, fromBackendRedirect, ifuRedirectToBpu)
111009c6f1ddSLingrui98
1111f21bbcb2SGuokai Chen  XSError(io.toBpu.redirect.valid && isBefore(io.toBpu.redirect.bits.ftqIdx, commPtr), "Ftq received a redirect after its commit, check backend or replay")
1112f21bbcb2SGuokai Chen
111302f21c16SLingrui98  val may_have_stall_from_bpu = Wire(Bool())
111402f21c16SLingrui98  val bpu_ftb_update_stall = RegInit(0.U(2.W)) // 2-cycle stall, so we need 3 states
111502f21c16SLingrui98  may_have_stall_from_bpu := bpu_ftb_update_stall =/= 0.U
111643aca6c2SGuokai Chen  canCommit := commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
111709c6f1ddSLingrui98    Cat(commitStateQueue(commPtr.value).map(s => {
1118b5808fc2Ssfencevma      s === c_invalid || s === c_commited
1119b5808fc2Ssfencevma    })).andR()
112009c6f1ddSLingrui98
11211d1e6d4dSJenius  val mmioReadPtr = io.mmioCommitRead.mmioFtqPtr
11221d1e6d4dSJenius  val mmioLastCommit = isBefore(commPtr, mmioReadPtr) && (isAfter(ifuPtr,mmioReadPtr)  ||  mmioReadPtr ===   ifuPtr) &&
1123b5808fc2Ssfencevma                       Cat(commitStateQueue(mmioReadPtr.value).map(s => { s === c_invalid || s === c_commited})).andR()
11241d1e6d4dSJenius  io.mmioCommitRead.mmioLastCommit := RegNext(mmioLastCommit)
11251d1e6d4dSJenius
112609c6f1ddSLingrui98  // commit reads
1127c5c5edaeSJenius  val commit_pc_bundle = RegNext(ftq_pc_mem.io.commPtr_rdata)
112881101dc4SLingrui98  val commit_target =
112934cf890eSLingrui98    Mux(RegNext(commPtr === newest_entry_ptr),
113034cf890eSLingrui98      RegNext(newest_entry_target),
113181101dc4SLingrui98      RegNext(ftq_pc_mem.io.commPtrPlus1_rdata.startAddr))
113209c6f1ddSLingrui98  ftq_pd_mem.io.raddr.last := commPtr.value
113309c6f1ddSLingrui98  val commit_pd = ftq_pd_mem.io.rdata.last
113409c6f1ddSLingrui98  ftq_redirect_sram.io.ren.last := canCommit
113509c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.last := commPtr.value
113609c6f1ddSLingrui98  val commit_spec_meta = ftq_redirect_sram.io.rdata.last
113709c6f1ddSLingrui98  ftq_meta_1r_sram.io.ren(0) := canCommit
113809c6f1ddSLingrui98  ftq_meta_1r_sram.io.raddr(0) := commPtr.value
113909c6f1ddSLingrui98  val commit_meta = ftq_meta_1r_sram.io.rdata(0)
114009c6f1ddSLingrui98  ftb_entry_mem.io.raddr.last := commPtr.value
114109c6f1ddSLingrui98  val commit_ftb_entry = ftb_entry_mem.io.rdata.last
114209c6f1ddSLingrui98
114309c6f1ddSLingrui98  // need one cycle to read mem and srams
114409c6f1ddSLingrui98  val do_commit_ptr = RegNext(commPtr)
11455371700eSzoujr  val do_commit = RegNext(canCommit, init=false.B)
11466bf9b30dSLingrui98  when (canCommit) {
11476bf9b30dSLingrui98    commPtr_write := commPtrPlus1
11486bf9b30dSLingrui98    commPtrPlus1_write := commPtrPlus1 + 1.U
11496bf9b30dSLingrui98  }
115009c6f1ddSLingrui98  val commit_state = RegNext(commitStateQueue(commPtr.value))
11515371700eSzoujr  val can_commit_cfi = WireInit(cfiIndex_vec(commPtr.value))
11523f88c020SGuokai Chen  //
11533f88c020SGuokai Chen  //when (commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited) {
11543f88c020SGuokai Chen  //  can_commit_cfi.valid := false.B
11553f88c020SGuokai Chen  //}
11565371700eSzoujr  val commit_cfi = RegNext(can_commit_cfi)
11573f88c020SGuokai Chen  val debug_cfi = RegNext(commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited && can_commit_cfi.valid)
115809c6f1ddSLingrui98
1159cc2d1573SEaston Man  val commit_mispredict  : Vec[Bool] = VecInit((RegNext(mispredict_vec(commPtr.value)) zip commit_state).map {
116009c6f1ddSLingrui98    case (mis, state) => mis && state === c_commited
116109c6f1ddSLingrui98  })
1162cc2d1573SEaston Man  val commit_instCommited: Vec[Bool] = VecInit(commit_state.map(_ === c_commited)) // [PredictWidth]
11635371700eSzoujr  val can_commit_hit                 = entry_hit_status(commPtr.value)
11645371700eSzoujr  val commit_hit                     = RegNext(can_commit_hit)
11655fa3df0dSLingrui98  val diff_commit_target             = RegNext(update_target(commPtr.value)) // TODO: remove this
1166edc18578SLingrui98  val commit_stage                   = RegNext(pred_stage(commPtr.value))
116709c6f1ddSLingrui98  val commit_valid                   = commit_hit === h_hit || commit_cfi.valid // hit or taken
116809c6f1ddSLingrui98
11695371700eSzoujr  val to_bpu_hit = can_commit_hit === h_hit || can_commit_hit === h_false_hit
117002f21c16SLingrui98  switch (bpu_ftb_update_stall) {
117102f21c16SLingrui98    is (0.U) {
117202f21c16SLingrui98      when (can_commit_cfi.valid && !to_bpu_hit && canCommit) {
117302f21c16SLingrui98        bpu_ftb_update_stall := 2.U // 2-cycle stall
117402f21c16SLingrui98      }
117502f21c16SLingrui98    }
117602f21c16SLingrui98    is (2.U) {
117702f21c16SLingrui98      bpu_ftb_update_stall := 1.U
117802f21c16SLingrui98    }
117902f21c16SLingrui98    is (1.U) {
118002f21c16SLingrui98      bpu_ftb_update_stall := 0.U
118102f21c16SLingrui98    }
118202f21c16SLingrui98    is (3.U) {
118302f21c16SLingrui98      XSError(true.B, "bpu_ftb_update_stall should be 0, 1 or 2")
118402f21c16SLingrui98    }
118502f21c16SLingrui98  }
118609c6f1ddSLingrui98
1187b0ed7239SLingrui98  // TODO: remove this
1188b0ed7239SLingrui98  XSError(do_commit && diff_commit_target =/= commit_target, "\ncommit target should be the same as update target\n")
1189b0ed7239SLingrui98
119009c6f1ddSLingrui98  io.toBpu.update := DontCare
119109c6f1ddSLingrui98  io.toBpu.update.valid := commit_valid && do_commit
119209c6f1ddSLingrui98  val update = io.toBpu.update.bits
119309c6f1ddSLingrui98  update.false_hit   := commit_hit === h_false_hit
119409c6f1ddSLingrui98  update.pc          := commit_pc_bundle.startAddr
119509c6f1ddSLingrui98  update.meta        := commit_meta.meta
1196803124a6SLingrui98  update.cfi_idx     := commit_cfi
11978ffcd86aSLingrui98  update.full_target := commit_target
1198edc18578SLingrui98  update.from_stage  := commit_stage
1199c2d1ec7dSLingrui98  update.spec_info   := commit_spec_meta
12003f88c020SGuokai Chen  XSError(commit_valid && do_commit && debug_cfi, "\ncommit cfi can be non c_commited\n")
120109c6f1ddSLingrui98
120209c6f1ddSLingrui98  val commit_real_hit = commit_hit === h_hit
120309c6f1ddSLingrui98  val update_ftb_entry = update.ftb_entry
120409c6f1ddSLingrui98
120509c6f1ddSLingrui98  val ftbEntryGen = Module(new FTBEntryGen).io
120609c6f1ddSLingrui98  ftbEntryGen.start_addr     := commit_pc_bundle.startAddr
120709c6f1ddSLingrui98  ftbEntryGen.old_entry      := commit_ftb_entry
120809c6f1ddSLingrui98  ftbEntryGen.pd             := commit_pd
120909c6f1ddSLingrui98  ftbEntryGen.cfiIndex       := commit_cfi
121009c6f1ddSLingrui98  ftbEntryGen.target         := commit_target
121109c6f1ddSLingrui98  ftbEntryGen.hit            := commit_real_hit
121209c6f1ddSLingrui98  ftbEntryGen.mispredict_vec := commit_mispredict
121309c6f1ddSLingrui98
121409c6f1ddSLingrui98  update_ftb_entry         := ftbEntryGen.new_entry
121509c6f1ddSLingrui98  update.new_br_insert_pos := ftbEntryGen.new_br_insert_pos
121609c6f1ddSLingrui98  update.mispred_mask      := ftbEntryGen.mispred_mask
121709c6f1ddSLingrui98  update.old_entry         := ftbEntryGen.is_old_entry
1218edc18578SLingrui98  update.pred_hit          := commit_hit === h_hit || commit_hit === h_false_hit
1219803124a6SLingrui98  update.br_taken_mask     := ftbEntryGen.taken_mask
1220cc2d1573SEaston Man  update.br_committed      := (ftbEntryGen.new_entry.brValids zip ftbEntryGen.new_entry.brOffset) map {
1221cc2d1573SEaston Man    case (valid, offset) => valid && commit_instCommited(offset)
1222cc2d1573SEaston Man  }
1223803124a6SLingrui98  update.jmp_taken         := ftbEntryGen.jmp_taken
1224b37e4b45SLingrui98
1225803124a6SLingrui98  // update.full_pred.fromFtbEntry(ftbEntryGen.new_entry, update.pc)
1226803124a6SLingrui98  // update.full_pred.jalr_target := commit_target
1227803124a6SLingrui98  // update.full_pred.hit := true.B
1228803124a6SLingrui98  // when (update.full_pred.is_jalr) {
1229803124a6SLingrui98  //   update.full_pred.targets.last := commit_target
1230803124a6SLingrui98  // }
123109c6f1ddSLingrui98
1232e30430c2SJay  // ****************************************************************
1233e30430c2SJay  // *********************** to prefetch ****************************
1234e30430c2SJay  // ****************************************************************
1235e30430c2SJay
12369c8f16f2SJenius  ftq_pc_mem.io.other_raddrs(0) := DontCare
1237e30430c2SJay  if(cacheParams.hasPrefetch){
1238e30430c2SJay    val prefetchPtr = RegInit(FtqPtr(false.B, 0.U))
1239378f00d9SJenius    val diff_prefetch_addr = WireInit(update_target(prefetchPtr.value)) //TODO: remove this
124034f9624dSguohongyu    // TODO : MUST WIDER
1241e30430c2SJay    prefetchPtr := prefetchPtr + io.toPrefetch.req.fire()
1242e30430c2SJay
1243a677d2cbSguohongyu    val prefetch_too_late = (isBefore(prefetchPtr, ifuPtr) && !isFull(ifuPtr, prefetchPtr)) || (prefetchPtr === ifuPtr)
1244a677d2cbSguohongyu    when(prefetch_too_late){
1245a677d2cbSguohongyu      when(prefetchPtr =/= bpuPtr){
124634f9624dSguohongyu        prefetchPtr := bpuPtr - 1.U
1247a677d2cbSguohongyu      }.otherwise{
1248a677d2cbSguohongyu        prefetchPtr := ifuPtr
1249a677d2cbSguohongyu      }
1250a677d2cbSguohongyu    }
1251a677d2cbSguohongyu
1252378f00d9SJenius    ftq_pc_mem.io.other_raddrs(0) := prefetchPtr.value
1253378f00d9SJenius
1254e30430c2SJay    when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s2_resp.ftq_idx)) {
1255e30430c2SJay      prefetchPtr := bpu_s2_resp.ftq_idx
1256e30430c2SJay    }
1257e30430c2SJay
1258cb4f77ceSLingrui98    when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s3_resp.ftq_idx)) {
1259cb4f77ceSLingrui98      prefetchPtr := bpu_s3_resp.ftq_idx
1260a3c55791SJinYue      // XSError(true.B, "\ns3_redirect mechanism not implemented!\n")
1261cb4f77ceSLingrui98    }
1262de7689fcSJay
1263f63797a4SLingrui98
1264f63797a4SLingrui98    val prefetch_is_to_send = WireInit(entry_fetch_status(prefetchPtr.value) === f_to_send)
1265f56177cbSJenius    val prefetch_addr = Wire(UInt(VAddrBits.W))
1266f63797a4SLingrui98
1267f63797a4SLingrui98    when (last_cycle_bpu_in && bpu_in_bypass_ptr === prefetchPtr) {
1268f63797a4SLingrui98      prefetch_is_to_send := true.B
12696bf9b30dSLingrui98      prefetch_addr := last_cycle_bpu_target
1270378f00d9SJenius      diff_prefetch_addr := last_cycle_bpu_target // TODO: remove this
1271f56177cbSJenius    }.otherwise{
1272f56177cbSJenius      prefetch_addr := RegNext( ftq_pc_mem.io.other_rdatas(0).startAddr)
1273f63797a4SLingrui98    }
1274f63797a4SLingrui98    io.toPrefetch.req.valid := prefetchPtr =/= bpuPtr && prefetch_is_to_send
1275f63797a4SLingrui98    io.toPrefetch.req.bits.target := prefetch_addr
1276de7689fcSJay
1277de7689fcSJay    when(redirectVec.map(r => r.valid).reduce(_||_)){
1278de7689fcSJay      val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
1279de7689fcSJay      val next = r.ftqIdx + 1.U
1280de7689fcSJay      prefetchPtr := next
1281de7689fcSJay    }
1282de7689fcSJay
1283378f00d9SJenius    // TODO: remove this
128410f8eea3SLingrui98    // XSError(io.toPrefetch.req.valid && diff_prefetch_addr =/= prefetch_addr,
128510f8eea3SLingrui98    //         f"\nprefetch_req_target wrong! prefetchPtr: ${prefetchPtr}, prefetch_addr: ${Hexadecimal(prefetch_addr)} diff_prefetch_addr: ${Hexadecimal(diff_prefetch_addr)}\n")
1286378f00d9SJenius
1287378f00d9SJenius
1288a677d2cbSguohongyu    XSError(isBefore(bpuPtr, prefetchPtr) && !isFull(bpuPtr, prefetchPtr), "\nprefetchPtr is before bpuPtr!\n")
128926a0efd4Sguohongyu//    XSError(isBefore(prefetchPtr, ifuPtr) && !isFull(ifuPtr, prefetchPtr), "\nifuPtr is before prefetchPtr!\n")
1290de7689fcSJay  }
1291de7689fcSJay  else {
1292de7689fcSJay    io.toPrefetch.req <> DontCare
1293de7689fcSJay  }
1294de7689fcSJay
129509c6f1ddSLingrui98  // ******************************************************************************
129609c6f1ddSLingrui98  // **************************** commit perf counters ****************************
129709c6f1ddSLingrui98  // ******************************************************************************
129809c6f1ddSLingrui98
129909c6f1ddSLingrui98  val commit_inst_mask    = VecInit(commit_state.map(c => c === c_commited && do_commit)).asUInt
130009c6f1ddSLingrui98  val commit_mispred_mask = commit_mispredict.asUInt
130109c6f1ddSLingrui98  val commit_not_mispred_mask = ~commit_mispred_mask
130209c6f1ddSLingrui98
130309c6f1ddSLingrui98  val commit_br_mask = commit_pd.brMask.asUInt
130409c6f1ddSLingrui98  val commit_jmp_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.jmpInfo.valid.asTypeOf(UInt(1.W)))
130509c6f1ddSLingrui98  val commit_cfi_mask = (commit_br_mask | commit_jmp_mask)
130609c6f1ddSLingrui98
130709c6f1ddSLingrui98  val mbpInstrs = commit_inst_mask & commit_cfi_mask
130809c6f1ddSLingrui98
130909c6f1ddSLingrui98  val mbpRights = mbpInstrs & commit_not_mispred_mask
131009c6f1ddSLingrui98  val mbpWrongs = mbpInstrs & commit_mispred_mask
131109c6f1ddSLingrui98
131209c6f1ddSLingrui98  io.bpuInfo.bpRight := PopCount(mbpRights)
131309c6f1ddSLingrui98  io.bpuInfo.bpWrong := PopCount(mbpWrongs)
131409c6f1ddSLingrui98
1315da3bf434SMaxpicca-Li  val isWriteFTQTable = WireInit(Constantin.createRecord("isWriteFTQTable" + p(XSCoreParamsKey).HartId.toString))
131651532d8bSGuokai Chen  val ftqBranchTraceDB = ChiselDB.createTable("FTQTable" + p(XSCoreParamsKey).HartId.toString, new FtqDebugBundle)
131709c6f1ddSLingrui98  // Cfi Info
131809c6f1ddSLingrui98  for (i <- 0 until PredictWidth) {
131909c6f1ddSLingrui98    val pc = commit_pc_bundle.startAddr + (i * instBytes).U
132009c6f1ddSLingrui98    val v = commit_state(i) === c_commited
132109c6f1ddSLingrui98    val isBr = commit_pd.brMask(i)
132209c6f1ddSLingrui98    val isJmp = commit_pd.jmpInfo.valid && commit_pd.jmpOffset === i.U
132309c6f1ddSLingrui98    val isCfi = isBr || isJmp
132409c6f1ddSLingrui98    val isTaken = commit_cfi.valid && commit_cfi.bits === i.U
132509c6f1ddSLingrui98    val misPred = commit_mispredict(i)
1326c2ad24ebSLingrui98    // val ghist = commit_spec_meta.ghist.predHist
1327c2ad24ebSLingrui98    val histPtr = commit_spec_meta.histPtr
132809c6f1ddSLingrui98    val predCycle = commit_meta.meta(63, 0)
132909c6f1ddSLingrui98    val target = commit_target
133009c6f1ddSLingrui98
133109c6f1ddSLingrui98    val brIdx = OHToUInt(Reverse(Cat(update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U})))
133209c6f1ddSLingrui98    val inFtbEntry = update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U}.reduce(_||_)
133309c6f1ddSLingrui98    val addIntoHist = ((commit_hit === h_hit) && inFtbEntry) || ((!(commit_hit === h_hit) && i.U === commit_cfi.bits && isBr && commit_cfi.valid))
133409c6f1ddSLingrui98    XSDebug(v && do_commit && isCfi, p"cfi_update: isBr(${isBr}) pc(${Hexadecimal(pc)}) " +
1335c2ad24ebSLingrui98    p"taken(${isTaken}) mispred(${misPred}) cycle($predCycle) hist(${histPtr.value}) " +
133609c6f1ddSLingrui98    p"startAddr(${Hexadecimal(commit_pc_bundle.startAddr)}) AddIntoHist(${addIntoHist}) " +
133709c6f1ddSLingrui98    p"brInEntry(${inFtbEntry}) brIdx(${brIdx}) target(${Hexadecimal(target)})\n")
133851532d8bSGuokai Chen
133951532d8bSGuokai Chen    val logbundle = Wire(new FtqDebugBundle)
134051532d8bSGuokai Chen    logbundle.pc := pc
134151532d8bSGuokai Chen    logbundle.target := target
134251532d8bSGuokai Chen    logbundle.isBr := isBr
134351532d8bSGuokai Chen    logbundle.isJmp := isJmp
134451532d8bSGuokai Chen    logbundle.isCall := isJmp && commit_pd.hasCall
134551532d8bSGuokai Chen    logbundle.isRet := isJmp && commit_pd.hasRet
134651532d8bSGuokai Chen    logbundle.misPred := misPred
134751532d8bSGuokai Chen    logbundle.isTaken := isTaken
134851532d8bSGuokai Chen    logbundle.predStage := commit_stage
134951532d8bSGuokai Chen
135051532d8bSGuokai Chen    ftqBranchTraceDB.log(
135151532d8bSGuokai Chen      data = logbundle /* hardware of type T */,
1352da3bf434SMaxpicca-Li      en = isWriteFTQTable.orR && v && do_commit && isCfi,
135351532d8bSGuokai Chen      site = "FTQ" + p(XSCoreParamsKey).HartId.toString,
135451532d8bSGuokai Chen      clock = clock,
135551532d8bSGuokai Chen      reset = reset
135651532d8bSGuokai Chen    )
135709c6f1ddSLingrui98  }
135809c6f1ddSLingrui98
135909c6f1ddSLingrui98  val enq = io.fromBpu.resp
13602e1be6e1SSteve Gou  val perf_redirect = backendRedirect
136109c6f1ddSLingrui98
136209c6f1ddSLingrui98  XSPerfAccumulate("entry", validEntries)
136309c6f1ddSLingrui98  XSPerfAccumulate("bpu_to_ftq_stall", enq.valid && !enq.ready)
136409c6f1ddSLingrui98  XSPerfAccumulate("mispredictRedirect", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level)
136509c6f1ddSLingrui98  XSPerfAccumulate("replayRedirect", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level))
136609c6f1ddSLingrui98  XSPerfAccumulate("predecodeRedirect", fromIfuRedirect.valid)
136709c6f1ddSLingrui98
136809c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_bubble", io.toIfu.req.ready && !io.toIfu.req.valid)
136909c6f1ddSLingrui98
137009c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_stall", io.toIfu.req.valid && !io.toIfu.req.ready)
137109c6f1ddSLingrui98  XSPerfAccumulate("from_bpu_real_bubble", !enq.valid && enq.ready && allowBpuIn)
137212cedb6fSLingrui98  XSPerfAccumulate("bpu_to_ifu_bubble", bpuPtr === ifuPtr)
137309c6f1ddSLingrui98
137409c6f1ddSLingrui98  val from_bpu = io.fromBpu.resp.bits
1375c2d1ec7dSLingrui98  def in_entry_len_map_gen(resp: BpuToFtqBundle)(stage: String) = {
1376c2d1ec7dSLingrui98    val entry_len = (resp.last_stage_ftb_entry.getFallThrough(resp.s3.pc) - resp.s3.pc) >> instOffsetBits
137709c6f1ddSLingrui98    val entry_len_recording_vec = (1 to PredictWidth+1).map(i => entry_len === i.U)
137809c6f1ddSLingrui98    val entry_len_map = (1 to PredictWidth+1).map(i =>
1379c2d1ec7dSLingrui98      f"${stage}_ftb_entry_len_$i" -> (entry_len_recording_vec(i-1) && resp.s3.valid)
138009c6f1ddSLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
138109c6f1ddSLingrui98    entry_len_map
138209c6f1ddSLingrui98  }
1383c2d1ec7dSLingrui98  val s3_entry_len_map = in_entry_len_map_gen(from_bpu)("s3")
138409c6f1ddSLingrui98
138509c6f1ddSLingrui98  val to_ifu = io.toIfu.req.bits
138609c6f1ddSLingrui98
138709c6f1ddSLingrui98
138809c6f1ddSLingrui98
138909c6f1ddSLingrui98  val commit_num_inst_recording_vec = (1 to PredictWidth).map(i => PopCount(commit_inst_mask) === i.U)
139009c6f1ddSLingrui98  val commit_num_inst_map = (1 to PredictWidth).map(i =>
139109c6f1ddSLingrui98    f"commit_num_inst_$i" -> (commit_num_inst_recording_vec(i-1) && do_commit)
139209c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
139309c6f1ddSLingrui98
139409c6f1ddSLingrui98
139509c6f1ddSLingrui98
139609c6f1ddSLingrui98  val commit_jal_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJal.asTypeOf(UInt(1.W)))
139709c6f1ddSLingrui98  val commit_jalr_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJalr.asTypeOf(UInt(1.W)))
139809c6f1ddSLingrui98  val commit_call_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasCall.asTypeOf(UInt(1.W)))
139909c6f1ddSLingrui98  val commit_ret_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasRet.asTypeOf(UInt(1.W)))
140009c6f1ddSLingrui98
140109c6f1ddSLingrui98
140209c6f1ddSLingrui98  val mbpBRights = mbpRights & commit_br_mask
140309c6f1ddSLingrui98  val mbpJRights = mbpRights & commit_jal_mask
140409c6f1ddSLingrui98  val mbpIRights = mbpRights & commit_jalr_mask
140509c6f1ddSLingrui98  val mbpCRights = mbpRights & commit_call_mask
140609c6f1ddSLingrui98  val mbpRRights = mbpRights & commit_ret_mask
140709c6f1ddSLingrui98
140809c6f1ddSLingrui98  val mbpBWrongs = mbpWrongs & commit_br_mask
140909c6f1ddSLingrui98  val mbpJWrongs = mbpWrongs & commit_jal_mask
141009c6f1ddSLingrui98  val mbpIWrongs = mbpWrongs & commit_jalr_mask
141109c6f1ddSLingrui98  val mbpCWrongs = mbpWrongs & commit_call_mask
141209c6f1ddSLingrui98  val mbpRWrongs = mbpWrongs & commit_ret_mask
141309c6f1ddSLingrui98
14141d7e5011SLingrui98  val commit_pred_stage = RegNext(pred_stage(commPtr.value))
14151d7e5011SLingrui98
14161d7e5011SLingrui98  def pred_stage_map(src: UInt, name: String) = {
14171d7e5011SLingrui98    (0 until numBpStages).map(i =>
14181d7e5011SLingrui98      f"${name}_stage_${i+1}" -> PopCount(src.asBools.map(_ && commit_pred_stage === BP_STAGES(i)))
14191d7e5011SLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
14201d7e5011SLingrui98  }
14211d7e5011SLingrui98
14221d7e5011SLingrui98  val mispred_stage_map      = pred_stage_map(mbpWrongs,  "mispredict")
14231d7e5011SLingrui98  val br_mispred_stage_map   = pred_stage_map(mbpBWrongs, "br_mispredict")
14241d7e5011SLingrui98  val jalr_mispred_stage_map = pred_stage_map(mbpIWrongs, "jalr_mispredict")
14251d7e5011SLingrui98  val correct_stage_map      = pred_stage_map(mbpRights,  "correct")
14261d7e5011SLingrui98  val br_correct_stage_map   = pred_stage_map(mbpBRights, "br_correct")
14271d7e5011SLingrui98  val jalr_correct_stage_map = pred_stage_map(mbpIRights, "jalr_correct")
14281d7e5011SLingrui98
142909c6f1ddSLingrui98  val update_valid = io.toBpu.update.valid
143009c6f1ddSLingrui98  def u(cond: Bool) = update_valid && cond
143109c6f1ddSLingrui98  val ftb_false_hit = u(update.false_hit)
143265fddcf0Szoujr  // assert(!ftb_false_hit)
143309c6f1ddSLingrui98  val ftb_hit = u(commit_hit === h_hit)
143409c6f1ddSLingrui98
143509c6f1ddSLingrui98  val ftb_new_entry = u(ftbEntryGen.is_init_entry)
1436b37e4b45SLingrui98  val ftb_new_entry_only_br = ftb_new_entry && !update_ftb_entry.jmpValid
1437b37e4b45SLingrui98  val ftb_new_entry_only_jmp = ftb_new_entry && !update_ftb_entry.brValids(0)
1438b37e4b45SLingrui98  val ftb_new_entry_has_br_and_jmp = ftb_new_entry && update_ftb_entry.brValids(0) && update_ftb_entry.jmpValid
143909c6f1ddSLingrui98
144009c6f1ddSLingrui98  val ftb_old_entry = u(ftbEntryGen.is_old_entry)
144109c6f1ddSLingrui98
144209c6f1ddSLingrui98  val ftb_modified_entry = u(ftbEntryGen.is_new_br || ftbEntryGen.is_jalr_target_modified || ftbEntryGen.is_always_taken_modified)
144309c6f1ddSLingrui98  val ftb_modified_entry_new_br = u(ftbEntryGen.is_new_br)
1444*d2b20d1aSTang Haojin  val ftb_modified_entry_ifu_redirected = u(ifuRedirected(do_commit_ptr.value))
144509c6f1ddSLingrui98  val ftb_modified_entry_jalr_target_modified = u(ftbEntryGen.is_jalr_target_modified)
144609c6f1ddSLingrui98  val ftb_modified_entry_br_full = ftb_modified_entry && ftbEntryGen.is_br_full
144709c6f1ddSLingrui98  val ftb_modified_entry_always_taken = ftb_modified_entry && ftbEntryGen.is_always_taken_modified
144809c6f1ddSLingrui98
144909c6f1ddSLingrui98  val ftb_entry_len = (ftbEntryGen.new_entry.getFallThrough(update.pc) - update.pc) >> instOffsetBits
145009c6f1ddSLingrui98  val ftb_entry_len_recording_vec = (1 to PredictWidth+1).map(i => ftb_entry_len === i.U)
145109c6f1ddSLingrui98  val ftb_init_entry_len_map = (1 to PredictWidth+1).map(i =>
145209c6f1ddSLingrui98    f"ftb_init_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_new_entry)
145309c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
145409c6f1ddSLingrui98  val ftb_modified_entry_len_map = (1 to PredictWidth+1).map(i =>
145509c6f1ddSLingrui98    f"ftb_modified_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_modified_entry)
145609c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
145709c6f1ddSLingrui98
145809c6f1ddSLingrui98  val ftq_occupancy_map = (0 to FtqSize).map(i =>
145909c6f1ddSLingrui98    f"ftq_has_entry_$i" ->( validEntries === i.U)
146009c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
146109c6f1ddSLingrui98
146209c6f1ddSLingrui98  val perfCountsMap = Map(
146309c6f1ddSLingrui98    "BpInstr" -> PopCount(mbpInstrs),
146409c6f1ddSLingrui98    "BpBInstr" -> PopCount(mbpBRights | mbpBWrongs),
146509c6f1ddSLingrui98    "BpRight"  -> PopCount(mbpRights),
146609c6f1ddSLingrui98    "BpWrong"  -> PopCount(mbpWrongs),
146709c6f1ddSLingrui98    "BpBRight" -> PopCount(mbpBRights),
146809c6f1ddSLingrui98    "BpBWrong" -> PopCount(mbpBWrongs),
146909c6f1ddSLingrui98    "BpJRight" -> PopCount(mbpJRights),
147009c6f1ddSLingrui98    "BpJWrong" -> PopCount(mbpJWrongs),
147109c6f1ddSLingrui98    "BpIRight" -> PopCount(mbpIRights),
147209c6f1ddSLingrui98    "BpIWrong" -> PopCount(mbpIWrongs),
147309c6f1ddSLingrui98    "BpCRight" -> PopCount(mbpCRights),
147409c6f1ddSLingrui98    "BpCWrong" -> PopCount(mbpCWrongs),
147509c6f1ddSLingrui98    "BpRRight" -> PopCount(mbpRRights),
147609c6f1ddSLingrui98    "BpRWrong" -> PopCount(mbpRWrongs),
147709c6f1ddSLingrui98
147809c6f1ddSLingrui98    "ftb_false_hit"                -> PopCount(ftb_false_hit),
147909c6f1ddSLingrui98    "ftb_hit"                      -> PopCount(ftb_hit),
148009c6f1ddSLingrui98    "ftb_new_entry"                -> PopCount(ftb_new_entry),
148109c6f1ddSLingrui98    "ftb_new_entry_only_br"        -> PopCount(ftb_new_entry_only_br),
148209c6f1ddSLingrui98    "ftb_new_entry_only_jmp"       -> PopCount(ftb_new_entry_only_jmp),
148309c6f1ddSLingrui98    "ftb_new_entry_has_br_and_jmp" -> PopCount(ftb_new_entry_has_br_and_jmp),
148409c6f1ddSLingrui98    "ftb_old_entry"                -> PopCount(ftb_old_entry),
148509c6f1ddSLingrui98    "ftb_modified_entry"           -> PopCount(ftb_modified_entry),
148609c6f1ddSLingrui98    "ftb_modified_entry_new_br"    -> PopCount(ftb_modified_entry_new_br),
148709c6f1ddSLingrui98    "ftb_jalr_target_modified"     -> PopCount(ftb_modified_entry_jalr_target_modified),
148809c6f1ddSLingrui98    "ftb_modified_entry_br_full"   -> PopCount(ftb_modified_entry_br_full),
148909c6f1ddSLingrui98    "ftb_modified_entry_always_taken" -> PopCount(ftb_modified_entry_always_taken)
1490c2d1ec7dSLingrui98  ) ++ ftb_init_entry_len_map ++ ftb_modified_entry_len_map ++
1491cb4f77ceSLingrui98  s3_entry_len_map ++ commit_num_inst_map ++ ftq_occupancy_map ++
14921d7e5011SLingrui98  mispred_stage_map ++ br_mispred_stage_map ++ jalr_mispred_stage_map ++
14931d7e5011SLingrui98  correct_stage_map ++ br_correct_stage_map ++ jalr_correct_stage_map
149409c6f1ddSLingrui98
149509c6f1ddSLingrui98  for((key, value) <- perfCountsMap) {
149609c6f1ddSLingrui98    XSPerfAccumulate(key, value)
149709c6f1ddSLingrui98  }
149809c6f1ddSLingrui98
149909c6f1ddSLingrui98  // --------------------------- Debug --------------------------------
150009c6f1ddSLingrui98  // XSDebug(enq_fire, p"enq! " + io.fromBpu.resp.bits.toPrintable)
150109c6f1ddSLingrui98  XSDebug(io.toIfu.req.fire, p"fire to ifu " + io.toIfu.req.bits.toPrintable)
150209c6f1ddSLingrui98  XSDebug(do_commit, p"deq! [ptr] $do_commit_ptr\n")
150309c6f1ddSLingrui98  XSDebug(true.B, p"[bpuPtr] $bpuPtr, [ifuPtr] $ifuPtr, [ifuWbPtr] $ifuWbPtr [commPtr] $commPtr\n")
150409c6f1ddSLingrui98  XSDebug(true.B, p"[in] v:${io.fromBpu.resp.valid} r:${io.fromBpu.resp.ready} " +
150509c6f1ddSLingrui98    p"[out] v:${io.toIfu.req.valid} r:${io.toIfu.req.ready}\n")
150609c6f1ddSLingrui98  XSDebug(do_commit, p"[deq info] cfiIndex: $commit_cfi, $commit_pc_bundle, target: ${Hexadecimal(commit_target)}\n")
150709c6f1ddSLingrui98
150809c6f1ddSLingrui98  //   def ubtbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
150909c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
151009c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
151109c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
151209c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
151309c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
151409c6f1ddSLingrui98  //           !taken),
151509c6f1ddSLingrui98  //         !taken),
151609c6f1ddSLingrui98  //       false.B)
151709c6f1ddSLingrui98  //     }
151809c6f1ddSLingrui98  //   }
151909c6f1ddSLingrui98
152009c6f1ddSLingrui98  //   def btbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
152109c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
152209c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
152309c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
152409c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
152509c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
152609c6f1ddSLingrui98  //           !taken),
152709c6f1ddSLingrui98  //         !taken),
152809c6f1ddSLingrui98  //       false.B)
152909c6f1ddSLingrui98  //     }
153009c6f1ddSLingrui98  //   }
153109c6f1ddSLingrui98
153209c6f1ddSLingrui98  //   def tageCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
153309c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
153409c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
153509c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
153609c6f1ddSLingrui98  //         isWrong ^ (ans.taken.asBool === taken),
153709c6f1ddSLingrui98  //       false.B)
153809c6f1ddSLingrui98  //     }
153909c6f1ddSLingrui98  //   }
154009c6f1ddSLingrui98
154109c6f1ddSLingrui98  //   def loopCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
154209c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
154309c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
154409c6f1ddSLingrui98  //       Mux(valid && (pd.isBr) && ans.hit.asBool,
154509c6f1ddSLingrui98  //         isWrong ^ (!taken),
154609c6f1ddSLingrui98  //           false.B)
154709c6f1ddSLingrui98  //     }
154809c6f1ddSLingrui98  //   }
154909c6f1ddSLingrui98
155009c6f1ddSLingrui98  //   def rasCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
155109c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
155209c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
155309c6f1ddSLingrui98  //       Mux(valid && pd.isRet.asBool /*&& taken*/ && ans.hit.asBool,
155409c6f1ddSLingrui98  //         isWrong ^ (ans.target === commitEntry.target),
155509c6f1ddSLingrui98  //           false.B)
155609c6f1ddSLingrui98  //     }
155709c6f1ddSLingrui98  //   }
155809c6f1ddSLingrui98
155909c6f1ddSLingrui98  //   val ubtbRights = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), false.B)
156009c6f1ddSLingrui98  //   val ubtbWrongs = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), true.B)
156109c6f1ddSLingrui98  //   // btb and ubtb pred jal and jalr as well
156209c6f1ddSLingrui98  //   val btbRights = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), false.B)
156309c6f1ddSLingrui98  //   val btbWrongs = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), true.B)
156409c6f1ddSLingrui98  //   val tageRights = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), false.B)
156509c6f1ddSLingrui98  //   val tageWrongs = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), true.B)
156609c6f1ddSLingrui98
156709c6f1ddSLingrui98  //   val loopRights = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), false.B)
156809c6f1ddSLingrui98  //   val loopWrongs = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), true.B)
156909c6f1ddSLingrui98
157009c6f1ddSLingrui98  //   val rasRights = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), false.B)
157109c6f1ddSLingrui98  //   val rasWrongs = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), true.B)
15721ca0e4f3SYinan Xu
1573cd365d4cSrvcoresjw  val perfEvents = Seq(
1574cd365d4cSrvcoresjw    ("bpu_s2_redirect        ", bpu_s2_redirect                                                             ),
1575cb4f77ceSLingrui98    ("bpu_s3_redirect        ", bpu_s3_redirect                                                             ),
1576cd365d4cSrvcoresjw    ("bpu_to_ftq_stall       ", enq.valid && ~enq.ready                                                     ),
1577cd365d4cSrvcoresjw    ("mispredictRedirect     ", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level),
1578cd365d4cSrvcoresjw    ("replayRedirect         ", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level)  ),
1579cd365d4cSrvcoresjw    ("predecodeRedirect      ", fromIfuRedirect.valid                                                       ),
1580cd365d4cSrvcoresjw    ("to_ifu_bubble          ", io.toIfu.req.ready && !io.toIfu.req.valid                                   ),
1581cd365d4cSrvcoresjw    ("from_bpu_real_bubble   ", !enq.valid && enq.ready && allowBpuIn                                       ),
1582cd365d4cSrvcoresjw    ("BpInstr                ", PopCount(mbpInstrs)                                                         ),
1583cd365d4cSrvcoresjw    ("BpBInstr               ", PopCount(mbpBRights | mbpBWrongs)                                           ),
1584cd365d4cSrvcoresjw    ("BpRight                ", PopCount(mbpRights)                                                         ),
1585cd365d4cSrvcoresjw    ("BpWrong                ", PopCount(mbpWrongs)                                                         ),
1586cd365d4cSrvcoresjw    ("BpBRight               ", PopCount(mbpBRights)                                                        ),
1587cd365d4cSrvcoresjw    ("BpBWrong               ", PopCount(mbpBWrongs)                                                        ),
1588cd365d4cSrvcoresjw    ("BpJRight               ", PopCount(mbpJRights)                                                        ),
1589cd365d4cSrvcoresjw    ("BpJWrong               ", PopCount(mbpJWrongs)                                                        ),
1590cd365d4cSrvcoresjw    ("BpIRight               ", PopCount(mbpIRights)                                                        ),
1591cd365d4cSrvcoresjw    ("BpIWrong               ", PopCount(mbpIWrongs)                                                        ),
1592cd365d4cSrvcoresjw    ("BpCRight               ", PopCount(mbpCRights)                                                        ),
1593cd365d4cSrvcoresjw    ("BpCWrong               ", PopCount(mbpCWrongs)                                                        ),
1594cd365d4cSrvcoresjw    ("BpRRight               ", PopCount(mbpRRights)                                                        ),
1595cd365d4cSrvcoresjw    ("BpRWrong               ", PopCount(mbpRWrongs)                                                        ),
1596cd365d4cSrvcoresjw    ("ftb_false_hit          ", PopCount(ftb_false_hit)                                                     ),
1597cd365d4cSrvcoresjw    ("ftb_hit                ", PopCount(ftb_hit)                                                           ),
1598cd365d4cSrvcoresjw  )
15991ca0e4f3SYinan Xu  generatePerfEvent()
160009c6f1ddSLingrui98}