xref: /XiangShan/src/main/scala/xiangshan/frontend/NewFtq.scala (revision ae409b75bf72d2462020d33c20c84d970b3a6f10)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
1509c6f1ddSLingrui98***************************************************************************************/
1609c6f1ddSLingrui98
1709c6f1ddSLingrui98package xiangshan.frontend
1809c6f1ddSLingrui98
1909c6f1ddSLingrui98import chipsalliance.rocketchip.config.Parameters
2009c6f1ddSLingrui98import chisel3._
2109c6f1ddSLingrui98import chisel3.util._
221ca0e4f3SYinan Xuimport utils._
2309c6f1ddSLingrui98import xiangshan._
24e30430c2SJayimport xiangshan.frontend.icache._
251ca0e4f3SYinan Xuimport xiangshan.backend.CtrlToFtqIO
262e1be6e1SSteve Gouimport xiangshan.backend.decode.ImmUnion
2709c6f1ddSLingrui98
2809c6f1ddSLingrui98class FtqPtr(implicit p: Parameters) extends CircularQueuePtr[FtqPtr](
2909c6f1ddSLingrui98  p => p(XSCoreParamsKey).FtqSize
3009c6f1ddSLingrui98){
3109c6f1ddSLingrui98}
3209c6f1ddSLingrui98
3309c6f1ddSLingrui98object FtqPtr {
3409c6f1ddSLingrui98  def apply(f: Bool, v: UInt)(implicit p: Parameters): FtqPtr = {
3509c6f1ddSLingrui98    val ptr = Wire(new FtqPtr)
3609c6f1ddSLingrui98    ptr.flag := f
3709c6f1ddSLingrui98    ptr.value := v
3809c6f1ddSLingrui98    ptr
3909c6f1ddSLingrui98  }
4009c6f1ddSLingrui98  def inverse(ptr: FtqPtr)(implicit p: Parameters): FtqPtr = {
4109c6f1ddSLingrui98    apply(!ptr.flag, ptr.value)
4209c6f1ddSLingrui98  }
4309c6f1ddSLingrui98}
4409c6f1ddSLingrui98
4509c6f1ddSLingrui98class FtqNRSRAM[T <: Data](gen: T, numRead: Int)(implicit p: Parameters) extends XSModule {
4609c6f1ddSLingrui98
4709c6f1ddSLingrui98  val io = IO(new Bundle() {
4809c6f1ddSLingrui98    val raddr = Input(Vec(numRead, UInt(log2Up(FtqSize).W)))
4909c6f1ddSLingrui98    val ren = Input(Vec(numRead, Bool()))
5009c6f1ddSLingrui98    val rdata = Output(Vec(numRead, gen))
5109c6f1ddSLingrui98    val waddr = Input(UInt(log2Up(FtqSize).W))
5209c6f1ddSLingrui98    val wen = Input(Bool())
5309c6f1ddSLingrui98    val wdata = Input(gen)
5409c6f1ddSLingrui98  })
5509c6f1ddSLingrui98
5609c6f1ddSLingrui98  for(i <- 0 until numRead){
5709c6f1ddSLingrui98    val sram = Module(new SRAMTemplate(gen, FtqSize))
5809c6f1ddSLingrui98    sram.io.r.req.valid := io.ren(i)
5909c6f1ddSLingrui98    sram.io.r.req.bits.setIdx := io.raddr(i)
6009c6f1ddSLingrui98    io.rdata(i) := sram.io.r.resp.data(0)
6109c6f1ddSLingrui98    sram.io.w.req.valid := io.wen
6209c6f1ddSLingrui98    sram.io.w.req.bits.setIdx := io.waddr
6309c6f1ddSLingrui98    sram.io.w.req.bits.data := VecInit(io.wdata)
6409c6f1ddSLingrui98  }
6509c6f1ddSLingrui98
6609c6f1ddSLingrui98}
6709c6f1ddSLingrui98
6809c6f1ddSLingrui98class Ftq_RF_Components(implicit p: Parameters) extends XSBundle with BPUUtils {
6909c6f1ddSLingrui98  val startAddr = UInt(VAddrBits.W)
70b37e4b45SLingrui98  val nextLineAddr = UInt(VAddrBits.W)
7109c6f1ddSLingrui98  val isNextMask = Vec(PredictWidth, Bool())
72b37e4b45SLingrui98  val fallThruError = Bool()
73b37e4b45SLingrui98  // val carry = Bool()
7409c6f1ddSLingrui98  def getPc(offset: UInt) = {
7585215037SLingrui98    def getHigher(pc: UInt) = pc(VAddrBits-1, log2Ceil(PredictWidth)+instOffsetBits+1)
7685215037SLingrui98    def getOffset(pc: UInt) = pc(log2Ceil(PredictWidth)+instOffsetBits, instOffsetBits)
77b37e4b45SLingrui98    Cat(getHigher(Mux(isNextMask(offset) && startAddr(log2Ceil(PredictWidth)+instOffsetBits), nextLineAddr, startAddr)),
7809c6f1ddSLingrui98        getOffset(startAddr)+offset, 0.U(instOffsetBits.W))
7909c6f1ddSLingrui98  }
8009c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
81a229ab6cSLingrui98    def carryPos(addr: UInt) = addr(instOffsetBits+log2Ceil(PredictWidth)+1)
8209c6f1ddSLingrui98    this.startAddr := resp.pc
83a60a2901SLingrui98    this.nextLineAddr := resp.pc + (FetchWidth * 4 * 2).U // may be broken on other configs
8409c6f1ddSLingrui98    this.isNextMask := VecInit((0 until PredictWidth).map(i =>
8509c6f1ddSLingrui98      (resp.pc(log2Ceil(PredictWidth), 1) +& i.U)(log2Ceil(PredictWidth)).asBool()
8609c6f1ddSLingrui98    ))
87b37e4b45SLingrui98    this.fallThruError := resp.fallThruError
8809c6f1ddSLingrui98    this
8909c6f1ddSLingrui98  }
9009c6f1ddSLingrui98  override def toPrintable: Printable = {
91b37e4b45SLingrui98    p"startAddr:${Hexadecimal(startAddr)}"
9209c6f1ddSLingrui98  }
9309c6f1ddSLingrui98}
9409c6f1ddSLingrui98
9509c6f1ddSLingrui98class Ftq_pd_Entry(implicit p: Parameters) extends XSBundle {
9609c6f1ddSLingrui98  val brMask = Vec(PredictWidth, Bool())
9709c6f1ddSLingrui98  val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
9809c6f1ddSLingrui98  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
9909c6f1ddSLingrui98  val jalTarget = UInt(VAddrBits.W)
10009c6f1ddSLingrui98  val rvcMask = Vec(PredictWidth, Bool())
10109c6f1ddSLingrui98  def hasJal  = jmpInfo.valid && !jmpInfo.bits(0)
10209c6f1ddSLingrui98  def hasJalr = jmpInfo.valid && jmpInfo.bits(0)
10309c6f1ddSLingrui98  def hasCall = jmpInfo.valid && jmpInfo.bits(1)
10409c6f1ddSLingrui98  def hasRet  = jmpInfo.valid && jmpInfo.bits(2)
10509c6f1ddSLingrui98
10609c6f1ddSLingrui98  def fromPdWb(pdWb: PredecodeWritebackBundle) = {
10709c6f1ddSLingrui98    val pds = pdWb.pd
10809c6f1ddSLingrui98    this.brMask := VecInit(pds.map(pd => pd.isBr && pd.valid))
10909c6f1ddSLingrui98    this.jmpInfo.valid := VecInit(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid)).asUInt.orR
11009c6f1ddSLingrui98    this.jmpInfo.bits := ParallelPriorityMux(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid),
11109c6f1ddSLingrui98                                             pds.map(pd => VecInit(pd.isJalr, pd.isCall, pd.isRet)))
11209c6f1ddSLingrui98    this.jmpOffset := ParallelPriorityEncoder(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid))
11309c6f1ddSLingrui98    this.rvcMask := VecInit(pds.map(pd => pd.isRVC))
11409c6f1ddSLingrui98    this.jalTarget := pdWb.jalTarget
11509c6f1ddSLingrui98  }
11609c6f1ddSLingrui98
11709c6f1ddSLingrui98  def toPd(offset: UInt) = {
11809c6f1ddSLingrui98    require(offset.getWidth == log2Ceil(PredictWidth))
11909c6f1ddSLingrui98    val pd = Wire(new PreDecodeInfo)
12009c6f1ddSLingrui98    pd.valid := true.B
12109c6f1ddSLingrui98    pd.isRVC := rvcMask(offset)
12209c6f1ddSLingrui98    val isBr = brMask(offset)
12309c6f1ddSLingrui98    val isJalr = offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(0)
12409c6f1ddSLingrui98    pd.brType := Cat(offset === jmpOffset && jmpInfo.valid, isJalr || isBr)
12509c6f1ddSLingrui98    pd.isCall := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(1)
12609c6f1ddSLingrui98    pd.isRet  := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(2)
12709c6f1ddSLingrui98    pd
12809c6f1ddSLingrui98  }
12909c6f1ddSLingrui98}
13009c6f1ddSLingrui98
13109c6f1ddSLingrui98
13209c6f1ddSLingrui98
13309c6f1ddSLingrui98class Ftq_Redirect_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
13409c6f1ddSLingrui98  val rasSp = UInt(log2Ceil(RasSize).W)
13509c6f1ddSLingrui98  val rasEntry = new RASEntry
136b37e4b45SLingrui98  // val specCnt = Vec(numBr, UInt(10.W))
137c2ad24ebSLingrui98  // val ghist = new ShiftingGlobalHistory
138dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
13967402d75SLingrui98  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
14067402d75SLingrui98  val lastBrNumOH = UInt((numBr+1).W)
14167402d75SLingrui98
142c2ad24ebSLingrui98  val histPtr = new CGHPtr
14309c6f1ddSLingrui98
14409c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
145b37e4b45SLingrui98    assert(!resp.is_minimal)
14609c6f1ddSLingrui98    this.rasSp := resp.rasSp
14709c6f1ddSLingrui98    this.rasEntry := resp.rasTop
148dd6c0695SLingrui98    this.folded_hist := resp.folded_hist
14967402d75SLingrui98    this.afhob := resp.afhob
15067402d75SLingrui98    this.lastBrNumOH := resp.lastBrNumOH
151c2ad24ebSLingrui98    this.histPtr := resp.histPtr
15209c6f1ddSLingrui98    this
15309c6f1ddSLingrui98  }
15409c6f1ddSLingrui98}
15509c6f1ddSLingrui98
15609c6f1ddSLingrui98class Ftq_1R_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
15709c6f1ddSLingrui98  val meta = UInt(MaxMetaLength.W)
15809c6f1ddSLingrui98}
15909c6f1ddSLingrui98
16009c6f1ddSLingrui98class Ftq_Pred_Info(implicit p: Parameters) extends XSBundle {
16109c6f1ddSLingrui98  val target = UInt(VAddrBits.W)
16209c6f1ddSLingrui98  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
16309c6f1ddSLingrui98}
16409c6f1ddSLingrui98
165c2ad24ebSLingrui98// class FtqEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
166c2ad24ebSLingrui98//   val startAddr = UInt(VAddrBits.W)
167c2ad24ebSLingrui98//   val fallThruAddr = UInt(VAddrBits.W)
168c2ad24ebSLingrui98//   val isNextMask = Vec(PredictWidth, Bool())
16909c6f1ddSLingrui98
170c2ad24ebSLingrui98//   val meta = UInt(MaxMetaLength.W)
17109c6f1ddSLingrui98
172c2ad24ebSLingrui98//   val rasSp = UInt(log2Ceil(RasSize).W)
173c2ad24ebSLingrui98//   val rasEntry = new RASEntry
174c2ad24ebSLingrui98//   val hist = new ShiftingGlobalHistory
175c2ad24ebSLingrui98//   val specCnt = Vec(numBr, UInt(10.W))
17609c6f1ddSLingrui98
177c2ad24ebSLingrui98//   val valids = Vec(PredictWidth, Bool())
178c2ad24ebSLingrui98//   val brMask = Vec(PredictWidth, Bool())
179c2ad24ebSLingrui98//   // isJalr, isCall, isRet
180c2ad24ebSLingrui98//   val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
181c2ad24ebSLingrui98//   val jmpOffset = UInt(log2Ceil(PredictWidth).W)
18209c6f1ddSLingrui98
183c2ad24ebSLingrui98//   val mispredVec = Vec(PredictWidth, Bool())
184c2ad24ebSLingrui98//   val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
185c2ad24ebSLingrui98//   val target = UInt(VAddrBits.W)
186c2ad24ebSLingrui98// }
18709c6f1ddSLingrui98
18809c6f1ddSLingrui98class FtqRead[T <: Data](private val gen: T)(implicit p: Parameters) extends XSBundle {
18909c6f1ddSLingrui98  val ptr = Output(new FtqPtr)
19009c6f1ddSLingrui98  val offset = Output(UInt(log2Ceil(PredictWidth).W))
19109c6f1ddSLingrui98  val data = Input(gen)
19209c6f1ddSLingrui98  def apply(ptr: FtqPtr, offset: UInt) = {
19309c6f1ddSLingrui98    this.ptr := ptr
19409c6f1ddSLingrui98    this.offset := offset
19509c6f1ddSLingrui98    this.data
19609c6f1ddSLingrui98  }
19709c6f1ddSLingrui98}
19809c6f1ddSLingrui98
19909c6f1ddSLingrui98
20009c6f1ddSLingrui98class FtqToBpuIO(implicit p: Parameters) extends XSBundle {
20109c6f1ddSLingrui98  val redirect = Valid(new BranchPredictionRedirect)
20209c6f1ddSLingrui98  val update = Valid(new BranchPredictionUpdate)
20309c6f1ddSLingrui98  val enq_ptr = Output(new FtqPtr)
20409c6f1ddSLingrui98}
20509c6f1ddSLingrui98
20609c6f1ddSLingrui98class FtqToIfuIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
20709c6f1ddSLingrui98  val req = Decoupled(new FetchRequestBundle)
20809c6f1ddSLingrui98  val redirect = Valid(new Redirect)
20909c6f1ddSLingrui98  val flushFromBpu = new Bundle {
21009c6f1ddSLingrui98    // when ifu pipeline is not stalled,
21109c6f1ddSLingrui98    // a packet from bpu s3 can reach f1 at most
21209c6f1ddSLingrui98    val s2 = Valid(new FtqPtr)
213cb4f77ceSLingrui98    val s3 = Valid(new FtqPtr)
21409c6f1ddSLingrui98    def shouldFlushBy(src: Valid[FtqPtr], idx_to_flush: FtqPtr) = {
21509c6f1ddSLingrui98      src.valid && !isAfter(src.bits, idx_to_flush)
21609c6f1ddSLingrui98    }
21709c6f1ddSLingrui98    def shouldFlushByStage2(idx: FtqPtr) = shouldFlushBy(s2, idx)
218cb4f77ceSLingrui98    def shouldFlushByStage3(idx: FtqPtr) = shouldFlushBy(s3, idx)
21909c6f1ddSLingrui98  }
22009c6f1ddSLingrui98}
22109c6f1ddSLingrui98
22209c6f1ddSLingrui98trait HasBackendRedirectInfo extends HasXSParameter {
2232e1be6e1SSteve Gou  def numRedirectPcRead = exuParameters.JmpCnt + exuParameters.AluCnt + 1
22409c6f1ddSLingrui98  def isLoadReplay(r: Valid[Redirect]) = r.bits.flushItself()
22509c6f1ddSLingrui98}
22609c6f1ddSLingrui98
22709c6f1ddSLingrui98class FtqToCtrlIO(implicit p: Parameters) extends XSBundle with HasBackendRedirectInfo {
2282e1be6e1SSteve Gou  val pc_reads = Vec(1 + numRedirectPcRead + 1 + 1, Flipped(new FtqRead(UInt(VAddrBits.W))))
22909c6f1ddSLingrui98  val target_read = Flipped(new FtqRead(UInt(VAddrBits.W)))
2302e1be6e1SSteve Gou  val redirect_s1_real_pc = Output(UInt(VAddrBits.W))
23109c6f1ddSLingrui98  def getJumpPcRead = pc_reads.head
23209c6f1ddSLingrui98  def getRedirectPcRead = VecInit(pc_reads.tail.dropRight(2))
2332e1be6e1SSteve Gou  def getRedirectPcReadData = pc_reads.tail.dropRight(2).map(_.data)
23409c6f1ddSLingrui98  def getMemPredPcRead = pc_reads.init.last
2359aca92b9SYinan Xu  def getRobFlushPcRead = pc_reads.last
23609c6f1ddSLingrui98}
23709c6f1ddSLingrui98
23809c6f1ddSLingrui98
23909c6f1ddSLingrui98class FTBEntryGen(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo with HasBPUParameter {
24009c6f1ddSLingrui98  val io = IO(new Bundle {
24109c6f1ddSLingrui98    val start_addr = Input(UInt(VAddrBits.W))
24209c6f1ddSLingrui98    val old_entry = Input(new FTBEntry)
24309c6f1ddSLingrui98    val pd = Input(new Ftq_pd_Entry)
24409c6f1ddSLingrui98    val cfiIndex = Flipped(Valid(UInt(log2Ceil(PredictWidth).W)))
24509c6f1ddSLingrui98    val target = Input(UInt(VAddrBits.W))
24609c6f1ddSLingrui98    val hit = Input(Bool())
24709c6f1ddSLingrui98    val mispredict_vec = Input(Vec(PredictWidth, Bool()))
24809c6f1ddSLingrui98
24909c6f1ddSLingrui98    val new_entry = Output(new FTBEntry)
25009c6f1ddSLingrui98    val new_br_insert_pos = Output(Vec(numBr, Bool()))
25109c6f1ddSLingrui98    val taken_mask = Output(Vec(numBr, Bool()))
25209c6f1ddSLingrui98    val mispred_mask = Output(Vec(numBr+1, Bool()))
25309c6f1ddSLingrui98
25409c6f1ddSLingrui98    // for perf counters
25509c6f1ddSLingrui98    val is_init_entry = Output(Bool())
25609c6f1ddSLingrui98    val is_old_entry = Output(Bool())
25709c6f1ddSLingrui98    val is_new_br = Output(Bool())
25809c6f1ddSLingrui98    val is_jalr_target_modified = Output(Bool())
25909c6f1ddSLingrui98    val is_always_taken_modified = Output(Bool())
26009c6f1ddSLingrui98    val is_br_full = Output(Bool())
26109c6f1ddSLingrui98  })
26209c6f1ddSLingrui98
26309c6f1ddSLingrui98  // no mispredictions detected at predecode
26409c6f1ddSLingrui98  val hit = io.hit
26509c6f1ddSLingrui98  val pd = io.pd
26609c6f1ddSLingrui98
26709c6f1ddSLingrui98  val init_entry = WireInit(0.U.asTypeOf(new FTBEntry))
26809c6f1ddSLingrui98
26909c6f1ddSLingrui98
27009c6f1ddSLingrui98  val cfi_is_br = pd.brMask(io.cfiIndex.bits) && io.cfiIndex.valid
27109c6f1ddSLingrui98  val entry_has_jmp = pd.jmpInfo.valid
27209c6f1ddSLingrui98  val new_jmp_is_jal  = entry_has_jmp && !pd.jmpInfo.bits(0) && io.cfiIndex.valid
27309c6f1ddSLingrui98  val new_jmp_is_jalr = entry_has_jmp &&  pd.jmpInfo.bits(0) && io.cfiIndex.valid
27409c6f1ddSLingrui98  val new_jmp_is_call = entry_has_jmp &&  pd.jmpInfo.bits(1) && io.cfiIndex.valid
27509c6f1ddSLingrui98  val new_jmp_is_ret  = entry_has_jmp &&  pd.jmpInfo.bits(2) && io.cfiIndex.valid
27609c6f1ddSLingrui98  val last_jmp_rvi = entry_has_jmp && pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask.last
277a60a2901SLingrui98  // val last_br_rvi = cfi_is_br && io.cfiIndex.bits === (PredictWidth-1).U && !pd.rvcMask.last
27809c6f1ddSLingrui98
27909c6f1ddSLingrui98  val cfi_is_jal = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jal
28009c6f1ddSLingrui98  val cfi_is_jalr = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jalr
28109c6f1ddSLingrui98
282a60a2901SLingrui98  def carryPos = log2Ceil(PredictWidth)+instOffsetBits
28309c6f1ddSLingrui98  def getLower(pc: UInt) = pc(carryPos-1, instOffsetBits)
28409c6f1ddSLingrui98  // if not hit, establish a new entry
28509c6f1ddSLingrui98  init_entry.valid := true.B
28609c6f1ddSLingrui98  // tag is left for ftb to assign
287eeb5ff92SLingrui98
288eeb5ff92SLingrui98  // case br
289eeb5ff92SLingrui98  val init_br_slot = init_entry.getSlotForBr(0)
290eeb5ff92SLingrui98  when (cfi_is_br) {
291eeb5ff92SLingrui98    init_br_slot.valid := true.B
292eeb5ff92SLingrui98    init_br_slot.offset := io.cfiIndex.bits
293b37e4b45SLingrui98    init_br_slot.setLowerStatByTarget(io.start_addr, io.target, numBr == 1)
294eeb5ff92SLingrui98    init_entry.always_taken(0) := true.B // set to always taken on init
295eeb5ff92SLingrui98  }
296eeb5ff92SLingrui98
297eeb5ff92SLingrui98  // case jmp
298eeb5ff92SLingrui98  when (entry_has_jmp) {
299eeb5ff92SLingrui98    init_entry.tailSlot.offset := pd.jmpOffset
300eeb5ff92SLingrui98    init_entry.tailSlot.valid := new_jmp_is_jal || new_jmp_is_jalr
301eeb5ff92SLingrui98    init_entry.tailSlot.setLowerStatByTarget(io.start_addr, Mux(cfi_is_jalr, io.target, pd.jalTarget), isShare=false)
302eeb5ff92SLingrui98  }
303eeb5ff92SLingrui98
30409c6f1ddSLingrui98  val jmpPft = getLower(io.start_addr) +& pd.jmpOffset +& Mux(pd.rvcMask(pd.jmpOffset), 1.U, 2.U)
305a60a2901SLingrui98  init_entry.pftAddr := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft, getLower(io.start_addr))
306a60a2901SLingrui98  init_entry.carry   := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft(carryPos-instOffsetBits), true.B)
30709c6f1ddSLingrui98  init_entry.isJalr := new_jmp_is_jalr
30809c6f1ddSLingrui98  init_entry.isCall := new_jmp_is_call
30909c6f1ddSLingrui98  init_entry.isRet  := new_jmp_is_ret
310f4ebc4b2SLingrui98  // that means fall thru points to the middle of an inst
311*ae409b75SSteve Gou  init_entry.last_may_be_rvi_call := pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask(pd.jmpOffset)
31209c6f1ddSLingrui98
31309c6f1ddSLingrui98  // if hit, check whether a new cfi(only br is possible) is detected
31409c6f1ddSLingrui98  val oe = io.old_entry
315eeb5ff92SLingrui98  val br_recorded_vec = oe.getBrRecordedVec(io.cfiIndex.bits)
31609c6f1ddSLingrui98  val br_recorded = br_recorded_vec.asUInt.orR
31709c6f1ddSLingrui98  val is_new_br = cfi_is_br && !br_recorded
31809c6f1ddSLingrui98  val new_br_offset = io.cfiIndex.bits
31909c6f1ddSLingrui98  // vec(i) means new br will be inserted BEFORE old br(i)
320eeb5ff92SLingrui98  val allBrSlotsVec = oe.allSlotsForBr
32109c6f1ddSLingrui98  val new_br_insert_onehot = VecInit((0 until numBr).map{
32209c6f1ddSLingrui98    i => i match {
323eeb5ff92SLingrui98      case 0 =>
324eeb5ff92SLingrui98        !allBrSlotsVec(0).valid || new_br_offset < allBrSlotsVec(0).offset
325eeb5ff92SLingrui98      case idx =>
326eeb5ff92SLingrui98        allBrSlotsVec(idx-1).valid && new_br_offset > allBrSlotsVec(idx-1).offset &&
327eeb5ff92SLingrui98        (!allBrSlotsVec(idx).valid || new_br_offset < allBrSlotsVec(idx).offset)
32809c6f1ddSLingrui98    }
32909c6f1ddSLingrui98  })
33009c6f1ddSLingrui98
33109c6f1ddSLingrui98  val old_entry_modified = WireInit(io.old_entry)
33209c6f1ddSLingrui98  for (i <- 0 until numBr) {
333eeb5ff92SLingrui98    val slot = old_entry_modified.allSlotsForBr(i)
334eeb5ff92SLingrui98    when (new_br_insert_onehot(i)) {
335eeb5ff92SLingrui98      slot.valid := true.B
336eeb5ff92SLingrui98      slot.offset := new_br_offset
337b37e4b45SLingrui98      slot.setLowerStatByTarget(io.start_addr, io.target, i == numBr-1)
338eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := true.B
339eeb5ff92SLingrui98    }.elsewhen (new_br_offset > oe.allSlotsForBr(i).offset) {
340eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := false.B
341eeb5ff92SLingrui98      // all other fields remain unchanged
342eeb5ff92SLingrui98    }.otherwise {
343eeb5ff92SLingrui98      // case i == 0, remain unchanged
344eeb5ff92SLingrui98      if (i != 0) {
345b37e4b45SLingrui98        val noNeedToMoveFromFormerSlot = (i == numBr-1).B && !oe.brSlots.last.valid
346eeb5ff92SLingrui98        when (!noNeedToMoveFromFormerSlot) {
347eeb5ff92SLingrui98          slot.fromAnotherSlot(oe.allSlotsForBr(i-1))
348eeb5ff92SLingrui98          old_entry_modified.always_taken(i) := oe.always_taken(i)
34909c6f1ddSLingrui98        }
350eeb5ff92SLingrui98      }
351eeb5ff92SLingrui98    }
352eeb5ff92SLingrui98  }
35309c6f1ddSLingrui98
354eeb5ff92SLingrui98  // two circumstances:
355eeb5ff92SLingrui98  // 1. oe: | br | j  |, new br should be in front of j, thus addr of j should be new pft
356eeb5ff92SLingrui98  // 2. oe: | br | br |, new br could be anywhere between, thus new pft is the addr of either
357eeb5ff92SLingrui98  //        the previous last br or the new br
358eeb5ff92SLingrui98  val may_have_to_replace = oe.noEmptySlotForNewBr
359eeb5ff92SLingrui98  val pft_need_to_change = is_new_br && may_have_to_replace
36009c6f1ddSLingrui98  // it should either be the given last br or the new br
36109c6f1ddSLingrui98  when (pft_need_to_change) {
362eeb5ff92SLingrui98    val new_pft_offset =
363710a8720SLingrui98      Mux(!new_br_insert_onehot.asUInt.orR,
364710a8720SLingrui98        new_br_offset, oe.allSlotsForBr.last.offset)
365eeb5ff92SLingrui98
366710a8720SLingrui98    // set jmp to invalid
36709c6f1ddSLingrui98    old_entry_modified.pftAddr := getLower(io.start_addr) + new_pft_offset
36809c6f1ddSLingrui98    old_entry_modified.carry := (getLower(io.start_addr) +& new_pft_offset).head(1).asBool
369f4ebc4b2SLingrui98    old_entry_modified.last_may_be_rvi_call := false.B
37009c6f1ddSLingrui98    old_entry_modified.isCall := false.B
37109c6f1ddSLingrui98    old_entry_modified.isRet := false.B
372eeb5ff92SLingrui98    old_entry_modified.isJalr := false.B
37309c6f1ddSLingrui98  }
37409c6f1ddSLingrui98
37509c6f1ddSLingrui98  val old_entry_jmp_target_modified = WireInit(oe)
376710a8720SLingrui98  val old_target = oe.tailSlot.getTarget(io.start_addr) // may be wrong because we store only 20 lowest bits
377b37e4b45SLingrui98  val old_tail_is_jmp = !oe.tailSlot.sharing
378eeb5ff92SLingrui98  val jalr_target_modified = cfi_is_jalr && (old_target =/= io.target) && old_tail_is_jmp // TODO: pass full jalr target
3793bcae573SLingrui98  when (jalr_target_modified) {
38009c6f1ddSLingrui98    old_entry_jmp_target_modified.setByJmpTarget(io.start_addr, io.target)
38109c6f1ddSLingrui98    old_entry_jmp_target_modified.always_taken := 0.U.asTypeOf(Vec(numBr, Bool()))
38209c6f1ddSLingrui98  }
38309c6f1ddSLingrui98
38409c6f1ddSLingrui98  val old_entry_always_taken = WireInit(oe)
38509c6f1ddSLingrui98  val always_taken_modified_vec = Wire(Vec(numBr, Bool())) // whether modified or not
38609c6f1ddSLingrui98  for (i <- 0 until numBr) {
38709c6f1ddSLingrui98    old_entry_always_taken.always_taken(i) :=
38809c6f1ddSLingrui98      oe.always_taken(i) && io.cfiIndex.valid && oe.brValids(i) && io.cfiIndex.bits === oe.brOffset(i)
389710a8720SLingrui98    always_taken_modified_vec(i) := oe.always_taken(i) && !old_entry_always_taken.always_taken(i)
39009c6f1ddSLingrui98  }
39109c6f1ddSLingrui98  val always_taken_modified = always_taken_modified_vec.reduce(_||_)
39209c6f1ddSLingrui98
39309c6f1ddSLingrui98
39409c6f1ddSLingrui98
39509c6f1ddSLingrui98  val derived_from_old_entry =
39609c6f1ddSLingrui98    Mux(is_new_br, old_entry_modified,
3973bcae573SLingrui98      Mux(jalr_target_modified, old_entry_jmp_target_modified, old_entry_always_taken))
39809c6f1ddSLingrui98
39909c6f1ddSLingrui98
40009c6f1ddSLingrui98  io.new_entry := Mux(!hit, init_entry, derived_from_old_entry)
40109c6f1ddSLingrui98
40209c6f1ddSLingrui98  io.new_br_insert_pos := new_br_insert_onehot
40309c6f1ddSLingrui98  io.taken_mask := VecInit((io.new_entry.brOffset zip io.new_entry.brValids).map{
40409c6f1ddSLingrui98    case (off, v) => io.cfiIndex.bits === off && io.cfiIndex.valid && v
40509c6f1ddSLingrui98  })
40609c6f1ddSLingrui98  for (i <- 0 until numBr) {
40709c6f1ddSLingrui98    io.mispred_mask(i) := io.new_entry.brValids(i) && io.mispredict_vec(io.new_entry.brOffset(i))
40809c6f1ddSLingrui98  }
40909c6f1ddSLingrui98  io.mispred_mask.last := io.new_entry.jmpValid && io.mispredict_vec(pd.jmpOffset)
41009c6f1ddSLingrui98
41109c6f1ddSLingrui98  // for perf counters
41209c6f1ddSLingrui98  io.is_init_entry := !hit
4133bcae573SLingrui98  io.is_old_entry := hit && !is_new_br && !jalr_target_modified && !always_taken_modified
41409c6f1ddSLingrui98  io.is_new_br := hit && is_new_br
4153bcae573SLingrui98  io.is_jalr_target_modified := hit && jalr_target_modified
41609c6f1ddSLingrui98  io.is_always_taken_modified := hit && always_taken_modified
417eeb5ff92SLingrui98  io.is_br_full := hit && is_new_br && may_have_to_replace
41809c6f1ddSLingrui98}
41909c6f1ddSLingrui98
42009c6f1ddSLingrui98class Ftq(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper
421e30430c2SJay  with HasBackendRedirectInfo with BPUUtils with HasBPUConst with HasPerfEvents
422e30430c2SJay  with HasICacheParameters{
42309c6f1ddSLingrui98  val io = IO(new Bundle {
42409c6f1ddSLingrui98    val fromBpu = Flipped(new BpuToFtqIO)
42509c6f1ddSLingrui98    val fromIfu = Flipped(new IfuToFtqIO)
42609c6f1ddSLingrui98    val fromBackend = Flipped(new CtrlToFtqIO)
42709c6f1ddSLingrui98
42809c6f1ddSLingrui98    val toBpu = new FtqToBpuIO
42909c6f1ddSLingrui98    val toIfu = new FtqToIfuIO
43009c6f1ddSLingrui98    val toBackend = new FtqToCtrlIO
43109c6f1ddSLingrui98
4327052722fSJay    val toPrefetch = new FtqPrefechBundle
4337052722fSJay
43409c6f1ddSLingrui98    val bpuInfo = new Bundle {
43509c6f1ddSLingrui98      val bpRight = Output(UInt(XLEN.W))
43609c6f1ddSLingrui98      val bpWrong = Output(UInt(XLEN.W))
43709c6f1ddSLingrui98    }
43809c6f1ddSLingrui98  })
43909c6f1ddSLingrui98  io.bpuInfo := DontCare
44009c6f1ddSLingrui98
4412e1be6e1SSteve Gou  val backendRedirect = Wire(Valid(new Redirect))
4422e1be6e1SSteve Gou  val backendRedirectReg = RegNext(backendRedirect)
44309c6f1ddSLingrui98
444df5b4b8eSYinan Xu  val stage2Flush = backendRedirect.valid
44509c6f1ddSLingrui98  val backendFlush = stage2Flush || RegNext(stage2Flush)
44609c6f1ddSLingrui98  val ifuFlush = Wire(Bool())
44709c6f1ddSLingrui98
44809c6f1ddSLingrui98  val flush = stage2Flush || RegNext(stage2Flush)
44909c6f1ddSLingrui98
45009c6f1ddSLingrui98  val allowBpuIn, allowToIfu = WireInit(false.B)
45109c6f1ddSLingrui98  val flushToIfu = !allowToIfu
452df5b4b8eSYinan Xu  allowBpuIn := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
453df5b4b8eSYinan Xu  allowToIfu := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
45409c6f1ddSLingrui98
455e30430c2SJay  val bpuPtr, ifuPtr, ifuWbPtr, commPtr = RegInit(FtqPtr(false.B, 0.U))
45609c6f1ddSLingrui98  val validEntries = distanceBetween(bpuPtr, commPtr)
45709c6f1ddSLingrui98
45809c6f1ddSLingrui98  // **********************************************************************
45909c6f1ddSLingrui98  // **************************** enq from bpu ****************************
46009c6f1ddSLingrui98  // **********************************************************************
46109c6f1ddSLingrui98  val new_entry_ready = validEntries < FtqSize.U
46209c6f1ddSLingrui98  io.fromBpu.resp.ready := new_entry_ready
46309c6f1ddSLingrui98
46409c6f1ddSLingrui98  val bpu_s2_resp = io.fromBpu.resp.bits.s2
465cb4f77ceSLingrui98  val bpu_s3_resp = io.fromBpu.resp.bits.s3
46609c6f1ddSLingrui98  val bpu_s2_redirect = bpu_s2_resp.valid && bpu_s2_resp.hasRedirect
467cb4f77ceSLingrui98  val bpu_s3_redirect = bpu_s3_resp.valid && bpu_s3_resp.hasRedirect
46809c6f1ddSLingrui98
46909c6f1ddSLingrui98  io.toBpu.enq_ptr := bpuPtr
47009c6f1ddSLingrui98  val enq_fire = io.fromBpu.resp.fire() && allowBpuIn // from bpu s1
471cb4f77ceSLingrui98  val bpu_in_fire = (io.fromBpu.resp.fire() || bpu_s2_redirect || bpu_s3_redirect) && allowBpuIn
47209c6f1ddSLingrui98
473b37e4b45SLingrui98  val bpu_in_resp = io.fromBpu.resp.bits.selectedResp
474b37e4b45SLingrui98  val bpu_in_stage = io.fromBpu.resp.bits.selectedRespIdx
47509c6f1ddSLingrui98  val bpu_in_resp_ptr = Mux(bpu_in_stage === BP_S1, bpuPtr, bpu_in_resp.ftq_idx)
47609c6f1ddSLingrui98  val bpu_in_resp_idx = bpu_in_resp_ptr.value
47709c6f1ddSLingrui98
4789aca92b9SYinan Xu  // read ports:                            jumpPc + redirects + loadPred + robFlush + ifuReq1 + ifuReq2 + commitUpdate
4792e1be6e1SSteve Gou  val ftq_pc_mem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize, 1+numRedirectPcRead+2+1+1+1, 1))
48009c6f1ddSLingrui98  // resp from uBTB
48109c6f1ddSLingrui98  ftq_pc_mem.io.wen(0) := bpu_in_fire
48209c6f1ddSLingrui98  ftq_pc_mem.io.waddr(0) := bpu_in_resp_idx
48309c6f1ddSLingrui98  ftq_pc_mem.io.wdata(0).fromBranchPrediction(bpu_in_resp)
48409c6f1ddSLingrui98
48509c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
48609c6f1ddSLingrui98  val ftq_redirect_sram = Module(new FtqNRSRAM(new Ftq_Redirect_SRAMEntry, 1+1+1))
48709c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
48809c6f1ddSLingrui98  ftq_redirect_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
48909c6f1ddSLingrui98  ftq_redirect_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
49009c6f1ddSLingrui98  ftq_redirect_sram.io.wdata.fromBranchPrediction(io.fromBpu.resp.bits.lastStage)
49149cbc998SLingrui98  println(f"ftq redirect SRAM: entry ${ftq_redirect_sram.io.wdata.getWidth} * ${FtqSize} * 3")
49249cbc998SLingrui98  println(f"ftq redirect SRAM: ahead fh ${ftq_redirect_sram.io.wdata.afhob.getWidth} * ${FtqSize} * 3")
49309c6f1ddSLingrui98
49409c6f1ddSLingrui98  val ftq_meta_1r_sram = Module(new FtqNRSRAM(new Ftq_1R_SRAMEntry, 1))
49509c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
49609c6f1ddSLingrui98  ftq_meta_1r_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
49709c6f1ddSLingrui98  ftq_meta_1r_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
49809c6f1ddSLingrui98  ftq_meta_1r_sram.io.wdata.meta := io.fromBpu.resp.bits.meta
49909c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
50009c6f1ddSLingrui98  val ftb_entry_mem = Module(new SyncDataModuleTemplate(new FTBEntry, FtqSize, 1+1+1, 1))
50109c6f1ddSLingrui98  ftb_entry_mem.io.wen(0) := io.fromBpu.resp.bits.lastStage.valid
50209c6f1ddSLingrui98  ftb_entry_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
50309c6f1ddSLingrui98  ftb_entry_mem.io.wdata(0) := io.fromBpu.resp.bits.lastStage.ftb_entry
50409c6f1ddSLingrui98
50509c6f1ddSLingrui98
50609c6f1ddSLingrui98  // multi-write
507b37e4b45SLingrui98  val update_target = Reg(Vec(FtqSize, UInt(VAddrBits.W))) // could be taken target or fallThrough
50809c6f1ddSLingrui98  val cfiIndex_vec = Reg(Vec(FtqSize, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))))
50909c6f1ddSLingrui98  val mispredict_vec = Reg(Vec(FtqSize, Vec(PredictWidth, Bool())))
51009c6f1ddSLingrui98  val pred_stage = Reg(Vec(FtqSize, UInt(2.W)))
51109c6f1ddSLingrui98
51209c6f1ddSLingrui98  val c_invalid :: c_valid :: c_commited :: Nil = Enum(3)
51309c6f1ddSLingrui98  val commitStateQueue = RegInit(VecInit(Seq.fill(FtqSize) {
51409c6f1ddSLingrui98    VecInit(Seq.fill(PredictWidth)(c_invalid))
51509c6f1ddSLingrui98  }))
51609c6f1ddSLingrui98
51709c6f1ddSLingrui98  val f_to_send :: f_sent :: Nil = Enum(2)
51809c6f1ddSLingrui98  val entry_fetch_status = RegInit(VecInit(Seq.fill(FtqSize)(f_sent)))
51909c6f1ddSLingrui98
52009c6f1ddSLingrui98  val h_not_hit :: h_false_hit :: h_hit :: Nil = Enum(3)
52109c6f1ddSLingrui98  val entry_hit_status = RegInit(VecInit(Seq.fill(FtqSize)(h_not_hit)))
52209c6f1ddSLingrui98
52309c6f1ddSLingrui98
52409c6f1ddSLingrui98  when (bpu_in_fire) {
52509c6f1ddSLingrui98    entry_fetch_status(bpu_in_resp_idx) := f_to_send
52609c6f1ddSLingrui98    commitStateQueue(bpu_in_resp_idx) := VecInit(Seq.fill(PredictWidth)(c_invalid))
527b37e4b45SLingrui98    cfiIndex_vec(bpu_in_resp_idx) := bpu_in_resp.cfiIndex
52809c6f1ddSLingrui98    mispredict_vec(bpu_in_resp_idx) := WireInit(VecInit(Seq.fill(PredictWidth)(false.B)))
529b37e4b45SLingrui98    update_target(bpu_in_resp_idx) := bpu_in_resp.getTarget
53009c6f1ddSLingrui98    pred_stage(bpu_in_resp_idx) := bpu_in_stage
53109c6f1ddSLingrui98  }
53209c6f1ddSLingrui98
53309c6f1ddSLingrui98  bpuPtr := bpuPtr + enq_fire
5347bb9fc10SLingrui98  ifuPtr := ifuPtr + (io.toIfu.req.fire && allowToIfu)
53509c6f1ddSLingrui98
53609c6f1ddSLingrui98  // only use ftb result to assign hit status
53709c6f1ddSLingrui98  when (bpu_s2_resp.valid) {
538b37e4b45SLingrui98    entry_hit_status(bpu_s2_resp.ftq_idx.value) := Mux(bpu_s2_resp.full_pred.hit, h_hit, h_not_hit)
53909c6f1ddSLingrui98  }
54009c6f1ddSLingrui98
54109c6f1ddSLingrui98
5422f4a3aa4SLingrui98  io.toIfu.flushFromBpu.s2.valid := bpu_s2_redirect
54309c6f1ddSLingrui98  io.toIfu.flushFromBpu.s2.bits := bpu_s2_resp.ftq_idx
54409c6f1ddSLingrui98  when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect) {
54509c6f1ddSLingrui98    bpuPtr := bpu_s2_resp.ftq_idx + 1.U
54609c6f1ddSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
54709c6f1ddSLingrui98    when (!isBefore(ifuPtr, bpu_s2_resp.ftq_idx)) {
54809c6f1ddSLingrui98      ifuPtr := bpu_s2_resp.ftq_idx
54909c6f1ddSLingrui98    }
55009c6f1ddSLingrui98  }
55109c6f1ddSLingrui98
552cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.valid := bpu_s3_redirect
553cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.bits := bpu_s3_resp.ftq_idx
554cb4f77ceSLingrui98  when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect) {
555cb4f77ceSLingrui98    bpuPtr := bpu_s3_resp.ftq_idx + 1.U
556cb4f77ceSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
557cb4f77ceSLingrui98    when (!isBefore(ifuPtr, bpu_s3_resp.ftq_idx)) {
558cb4f77ceSLingrui98      ifuPtr := bpu_s3_resp.ftq_idx
559cb4f77ceSLingrui98    }
560cb4f77ceSLingrui98  }
561cb4f77ceSLingrui98
56209c6f1ddSLingrui98  XSError(isBefore(bpuPtr, ifuPtr) && !isFull(bpuPtr, ifuPtr), "\nifuPtr is before bpuPtr!\n")
56309c6f1ddSLingrui98
56409c6f1ddSLingrui98  // ****************************************************************
56509c6f1ddSLingrui98  // **************************** to ifu ****************************
56609c6f1ddSLingrui98  // ****************************************************************
567005e809bSJiuyang Liu  val bpu_in_bypass_buf = RegEnable(ftq_pc_mem.io.wdata(0), bpu_in_fire)
56809c6f1ddSLingrui98  val bpu_in_bypass_ptr = RegNext(bpu_in_resp_ptr)
56909c6f1ddSLingrui98  val last_cycle_bpu_in = RegNext(bpu_in_fire)
57009c6f1ddSLingrui98  val last_cycle_to_ifu_fire = RegNext(io.toIfu.req.fire)
57109c6f1ddSLingrui98
57209c6f1ddSLingrui98  // read pc and target
57309c6f1ddSLingrui98  ftq_pc_mem.io.raddr.init.init.last := ifuPtr.value
57409c6f1ddSLingrui98  ftq_pc_mem.io.raddr.init.last := (ifuPtr+1.U).value
57509c6f1ddSLingrui98
5765ff19bd8SLingrui98  io.toIfu.req.bits.ftqIdx := ifuPtr
577b37e4b45SLingrui98  io.toIfu.req.bits.nextStartAddr := update_target(ifuPtr.value)
5785ff19bd8SLingrui98  io.toIfu.req.bits.ftqOffset := cfiIndex_vec(ifuPtr.value)
57909c6f1ddSLingrui98
580b37e4b45SLingrui98  val toIfuPcBundle = Wire(new Ftq_RF_Components)
581f678dd91SSteve Gou  val entry_is_to_send = WireInit(false.B)
5827052722fSJay
58309c6f1ddSLingrui98  when (last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) {
584b37e4b45SLingrui98    toIfuPcBundle := bpu_in_bypass_buf
585f678dd91SSteve Gou    entry_is_to_send := true.B
58609c6f1ddSLingrui98  }.elsewhen (last_cycle_to_ifu_fire) {
587b37e4b45SLingrui98    toIfuPcBundle := ftq_pc_mem.io.rdata.init.last
588f678dd91SSteve Gou    entry_is_to_send := RegNext(entry_fetch_status((ifuPtr+1.U).value) === f_to_send)
58909c6f1ddSLingrui98  }.otherwise {
590b37e4b45SLingrui98    toIfuPcBundle := ftq_pc_mem.io.rdata.init.init.last
591f678dd91SSteve Gou    entry_is_to_send := RegNext(entry_fetch_status(ifuPtr.value) === f_to_send)
59209c6f1ddSLingrui98  }
59309c6f1ddSLingrui98
594f678dd91SSteve Gou  io.toIfu.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
595b37e4b45SLingrui98  io.toIfu.req.bits.fromFtqPcBundle(toIfuPcBundle)
596b37e4b45SLingrui98
59709c6f1ddSLingrui98  // when fall through is smaller in value than start address, there must be a false hit
598b37e4b45SLingrui98  when (toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit) {
59909c6f1ddSLingrui98    when (io.toIfu.req.fire &&
600cb4f77ceSLingrui98      !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) &&
601cb4f77ceSLingrui98      !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr)
60209c6f1ddSLingrui98    ) {
60309c6f1ddSLingrui98      entry_hit_status(ifuPtr.value) := h_false_hit
604352db50aSLingrui98      // XSError(true.B, "FTB false hit by fallThroughError, startAddr: %x, fallTHru: %x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
60509c6f1ddSLingrui98    }
606b37e4b45SLingrui98    XSDebug(true.B, "fallThruError! start:%x, fallThru:%x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
60709c6f1ddSLingrui98  }
60809c6f1ddSLingrui98
609a60a2901SLingrui98  XSPerfAccumulate(f"fall_through_error_to_ifu", toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit &&
610a60a2901SLingrui98    io.toIfu.req.fire && !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) && !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr))
611a60a2901SLingrui98
61209c6f1ddSLingrui98  val ifu_req_should_be_flushed =
613cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage2(io.toIfu.req.bits.ftqIdx) ||
614cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage3(io.toIfu.req.bits.ftqIdx)
61509c6f1ddSLingrui98
61609c6f1ddSLingrui98    when (io.toIfu.req.fire && !ifu_req_should_be_flushed) {
61709c6f1ddSLingrui98      entry_fetch_status(ifuPtr.value) := f_sent
61809c6f1ddSLingrui98    }
61909c6f1ddSLingrui98
62009c6f1ddSLingrui98  // *********************************************************************
62109c6f1ddSLingrui98  // **************************** wb from ifu ****************************
62209c6f1ddSLingrui98  // *********************************************************************
62309c6f1ddSLingrui98  val pdWb = io.fromIfu.pdWb
62409c6f1ddSLingrui98  val pds = pdWb.bits.pd
62509c6f1ddSLingrui98  val ifu_wb_valid = pdWb.valid
62609c6f1ddSLingrui98  val ifu_wb_idx = pdWb.bits.ftqIdx.value
62709c6f1ddSLingrui98  // read ports:                                                         commit update
62809c6f1ddSLingrui98  val ftq_pd_mem = Module(new SyncDataModuleTemplate(new Ftq_pd_Entry, FtqSize, 1, 1))
62909c6f1ddSLingrui98  ftq_pd_mem.io.wen(0) := ifu_wb_valid
63009c6f1ddSLingrui98  ftq_pd_mem.io.waddr(0) := pdWb.bits.ftqIdx.value
63109c6f1ddSLingrui98  ftq_pd_mem.io.wdata(0).fromPdWb(pdWb.bits)
63209c6f1ddSLingrui98
63309c6f1ddSLingrui98  val hit_pd_valid = entry_hit_status(ifu_wb_idx) === h_hit && ifu_wb_valid
63409c6f1ddSLingrui98  val hit_pd_mispred = hit_pd_valid && pdWb.bits.misOffset.valid
63509c6f1ddSLingrui98  val hit_pd_mispred_reg = RegNext(hit_pd_mispred, init=false.B)
636005e809bSJiuyang Liu  val pd_reg       = RegEnable(pds,             pdWb.valid)
637005e809bSJiuyang Liu  val start_pc_reg = RegEnable(pdWb.bits.pc(0), pdWb.valid)
638005e809bSJiuyang Liu  val wb_idx_reg   = RegEnable(ifu_wb_idx,      pdWb.valid)
63909c6f1ddSLingrui98
64009c6f1ddSLingrui98  when (ifu_wb_valid) {
64109c6f1ddSLingrui98    val comm_stq_wen = VecInit(pds.map(_.valid).zip(pdWb.bits.instrRange).map{
64209c6f1ddSLingrui98      case (v, inRange) => v && inRange
64309c6f1ddSLingrui98    })
64409c6f1ddSLingrui98    (commitStateQueue(ifu_wb_idx) zip comm_stq_wen).map{
64509c6f1ddSLingrui98      case (qe, v) => when (v) { qe := c_valid }
64609c6f1ddSLingrui98    }
64709c6f1ddSLingrui98  }
64809c6f1ddSLingrui98
64909c6f1ddSLingrui98  ifuWbPtr := ifuWbPtr + ifu_wb_valid
65009c6f1ddSLingrui98
65109c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := ifu_wb_idx
65209c6f1ddSLingrui98  val has_false_hit = WireInit(false.B)
65309c6f1ddSLingrui98  when (RegNext(hit_pd_valid)) {
65409c6f1ddSLingrui98    // check for false hit
65509c6f1ddSLingrui98    val pred_ftb_entry = ftb_entry_mem.io.rdata.head
656eeb5ff92SLingrui98    val brSlots = pred_ftb_entry.brSlots
657eeb5ff92SLingrui98    val tailSlot = pred_ftb_entry.tailSlot
65809c6f1ddSLingrui98    // we check cfis that bpu predicted
65909c6f1ddSLingrui98
660eeb5ff92SLingrui98    // bpu predicted branches but denied by predecode
661eeb5ff92SLingrui98    val br_false_hit =
662eeb5ff92SLingrui98      brSlots.map{
663eeb5ff92SLingrui98        s => s.valid && !(pd_reg(s.offset).valid && pd_reg(s.offset).isBr)
664eeb5ff92SLingrui98      }.reduce(_||_) ||
665b37e4b45SLingrui98      (tailSlot.valid && pred_ftb_entry.tailSlot.sharing &&
666eeb5ff92SLingrui98        !(pd_reg(tailSlot.offset).valid && pd_reg(tailSlot.offset).isBr))
667eeb5ff92SLingrui98
668eeb5ff92SLingrui98    val jmpOffset = tailSlot.offset
66909c6f1ddSLingrui98    val jmp_pd = pd_reg(jmpOffset)
67009c6f1ddSLingrui98    val jal_false_hit = pred_ftb_entry.jmpValid &&
67109c6f1ddSLingrui98      ((pred_ftb_entry.isJal  && !(jmp_pd.valid && jmp_pd.isJal)) ||
67209c6f1ddSLingrui98       (pred_ftb_entry.isJalr && !(jmp_pd.valid && jmp_pd.isJalr)) ||
67309c6f1ddSLingrui98       (pred_ftb_entry.isCall && !(jmp_pd.valid && jmp_pd.isCall)) ||
67409c6f1ddSLingrui98       (pred_ftb_entry.isRet  && !(jmp_pd.valid && jmp_pd.isRet))
67509c6f1ddSLingrui98      )
67609c6f1ddSLingrui98
67709c6f1ddSLingrui98    has_false_hit := br_false_hit || jal_false_hit || hit_pd_mispred_reg
67865fddcf0Szoujr    XSDebug(has_false_hit, "FTB false hit by br or jal or hit_pd, startAddr: %x\n", pdWb.bits.pc(0))
67965fddcf0Szoujr
680352db50aSLingrui98    // assert(!has_false_hit)
68109c6f1ddSLingrui98  }
68209c6f1ddSLingrui98
68309c6f1ddSLingrui98  when (has_false_hit) {
68409c6f1ddSLingrui98    entry_hit_status(wb_idx_reg) := h_false_hit
68509c6f1ddSLingrui98  }
68609c6f1ddSLingrui98
68709c6f1ddSLingrui98
68809c6f1ddSLingrui98  // **********************************************************************
68909c6f1ddSLingrui98  // **************************** backend read ****************************
69009c6f1ddSLingrui98  // **********************************************************************
69109c6f1ddSLingrui98
69209c6f1ddSLingrui98  // pc reads
69309c6f1ddSLingrui98  for ((req, i) <- io.toBackend.pc_reads.zipWithIndex) {
69409c6f1ddSLingrui98    ftq_pc_mem.io.raddr(i) := req.ptr.value
69509c6f1ddSLingrui98    req.data := ftq_pc_mem.io.rdata(i).getPc(RegNext(req.offset))
69609c6f1ddSLingrui98  }
69709c6f1ddSLingrui98  // target read
69809c6f1ddSLingrui98  io.toBackend.target_read.data := RegNext(update_target(io.toBackend.target_read.ptr.value))
69909c6f1ddSLingrui98
70009c6f1ddSLingrui98  // *******************************************************************************
70109c6f1ddSLingrui98  // **************************** redirect from backend ****************************
70209c6f1ddSLingrui98  // *******************************************************************************
70309c6f1ddSLingrui98
70409c6f1ddSLingrui98  // redirect read cfiInfo, couples to redirectGen s2
7052e1be6e1SSteve Gou  ftq_redirect_sram.io.ren.init.last := backendRedirect.valid
7062e1be6e1SSteve Gou  ftq_redirect_sram.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
70709c6f1ddSLingrui98
7082e1be6e1SSteve Gou  ftb_entry_mem.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
70909c6f1ddSLingrui98
71009c6f1ddSLingrui98  val stage3CfiInfo = ftq_redirect_sram.io.rdata.init.last
711df5b4b8eSYinan Xu  val fromBackendRedirect = WireInit(backendRedirectReg)
71209c6f1ddSLingrui98  val backendRedirectCfi = fromBackendRedirect.bits.cfiUpdate
71309c6f1ddSLingrui98  backendRedirectCfi.fromFtqRedirectSram(stage3CfiInfo)
71409c6f1ddSLingrui98
71509c6f1ddSLingrui98  val r_ftb_entry = ftb_entry_mem.io.rdata.init.last
71609c6f1ddSLingrui98  val r_ftqOffset = fromBackendRedirect.bits.ftqOffset
71709c6f1ddSLingrui98
71809c6f1ddSLingrui98  when (entry_hit_status(fromBackendRedirect.bits.ftqIdx.value) === h_hit) {
71909c6f1ddSLingrui98    backendRedirectCfi.shift := PopCount(r_ftb_entry.getBrMaskByOffset(r_ftqOffset)) +&
72009c6f1ddSLingrui98      (backendRedirectCfi.pd.isBr && !r_ftb_entry.brIsSaved(r_ftqOffset) &&
721eeb5ff92SLingrui98      !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
72209c6f1ddSLingrui98
72309c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr && (r_ftb_entry.brIsSaved(r_ftqOffset) ||
724eeb5ff92SLingrui98        !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
72509c6f1ddSLingrui98  }.otherwise {
72609c6f1ddSLingrui98    backendRedirectCfi.shift := (backendRedirectCfi.pd.isBr && backendRedirectCfi.taken).asUInt
72709c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr.asUInt
72809c6f1ddSLingrui98  }
72909c6f1ddSLingrui98
73009c6f1ddSLingrui98
73109c6f1ddSLingrui98  // ***************************************************************************
73209c6f1ddSLingrui98  // **************************** redirect from ifu ****************************
73309c6f1ddSLingrui98  // ***************************************************************************
73409c6f1ddSLingrui98  val fromIfuRedirect = WireInit(0.U.asTypeOf(Valid(new Redirect)))
73509c6f1ddSLingrui98  fromIfuRedirect.valid := pdWb.valid && pdWb.bits.misOffset.valid && !backendFlush
73609c6f1ddSLingrui98  fromIfuRedirect.bits.ftqIdx := pdWb.bits.ftqIdx
73709c6f1ddSLingrui98  fromIfuRedirect.bits.ftqOffset := pdWb.bits.misOffset.bits
73809c6f1ddSLingrui98  fromIfuRedirect.bits.level := RedirectLevel.flushAfter
73909c6f1ddSLingrui98
74009c6f1ddSLingrui98  val ifuRedirectCfiUpdate = fromIfuRedirect.bits.cfiUpdate
74109c6f1ddSLingrui98  ifuRedirectCfiUpdate.pc := pdWb.bits.pc(pdWb.bits.misOffset.bits)
74209c6f1ddSLingrui98  ifuRedirectCfiUpdate.pd := pdWb.bits.pd(pdWb.bits.misOffset.bits)
74309c6f1ddSLingrui98  ifuRedirectCfiUpdate.predTaken := cfiIndex_vec(pdWb.bits.ftqIdx.value).valid
74409c6f1ddSLingrui98  ifuRedirectCfiUpdate.target := pdWb.bits.target
74509c6f1ddSLingrui98  ifuRedirectCfiUpdate.taken := pdWb.bits.cfiOffset.valid
74609c6f1ddSLingrui98  ifuRedirectCfiUpdate.isMisPred := pdWb.bits.misOffset.valid
74709c6f1ddSLingrui98
74809c6f1ddSLingrui98  val ifuRedirectReg = RegNext(fromIfuRedirect, init=0.U.asTypeOf(Valid(new Redirect)))
74909c6f1ddSLingrui98  val ifuRedirectToBpu = WireInit(ifuRedirectReg)
75009c6f1ddSLingrui98  ifuFlush := fromIfuRedirect.valid || ifuRedirectToBpu.valid
75109c6f1ddSLingrui98
75209c6f1ddSLingrui98  ftq_redirect_sram.io.ren.head := fromIfuRedirect.valid
75309c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
75409c6f1ddSLingrui98
75509c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
75609c6f1ddSLingrui98
75709c6f1ddSLingrui98  val toBpuCfi = ifuRedirectToBpu.bits.cfiUpdate
75809c6f1ddSLingrui98  toBpuCfi.fromFtqRedirectSram(ftq_redirect_sram.io.rdata.head)
75909c6f1ddSLingrui98  when (ifuRedirectReg.bits.cfiUpdate.pd.isRet) {
76009c6f1ddSLingrui98    toBpuCfi.target := toBpuCfi.rasEntry.retAddr
76109c6f1ddSLingrui98  }
76209c6f1ddSLingrui98
76309c6f1ddSLingrui98  // *********************************************************************
76409c6f1ddSLingrui98  // **************************** wb from exu ****************************
76509c6f1ddSLingrui98  // *********************************************************************
76609c6f1ddSLingrui98
7672e1be6e1SSteve Gou  class RedirectGen(implicit p: Parameters) extends XSModule
7682e1be6e1SSteve Gou    with HasCircularQueuePtrHelper {
7692e1be6e1SSteve Gou    val io = IO(new Bundle {
7702e1be6e1SSteve Gou      val in = Flipped((new CtrlToFtqIO).for_redirect_gen)
7712e1be6e1SSteve Gou      val stage1Pc = Input(Vec(numRedirectPcRead, UInt(VAddrBits.W)))
7722e1be6e1SSteve Gou      val out = Valid(new Redirect)
7732e1be6e1SSteve Gou      val s1_real_pc = Output(UInt(VAddrBits.W))
7742e1be6e1SSteve Gou      val debug_diff = Flipped(Valid(new Redirect))
7752e1be6e1SSteve Gou    })
7762e1be6e1SSteve Gou    val s1_jumpTarget = io.in.s1_jumpTarget
7772e1be6e1SSteve Gou    val s1_uop = io.in.s1_oldest_exu_output.bits.uop
7782e1be6e1SSteve Gou    val s1_imm12_reg = s1_uop.ctrl.imm(11,0)
7792e1be6e1SSteve Gou    val s1_pd = s1_uop.cf.pd
7802e1be6e1SSteve Gou    val s1_isReplay = io.in.s1_redirect_onehot.last
7812e1be6e1SSteve Gou    val s1_isJump = io.in.s1_redirect_onehot.head
7822e1be6e1SSteve Gou    val real_pc = Mux1H(io.in.s1_redirect_onehot, io.stage1Pc)
7832e1be6e1SSteve Gou    val brTarget = real_pc + SignExt(ImmUnion.B.toImm32(s1_imm12_reg), XLEN)
7842e1be6e1SSteve Gou    val snpc = real_pc + Mux(s1_pd.isRVC, 2.U, 4.U)
7852e1be6e1SSteve Gou    val target = Mux(s1_isReplay,
7862e1be6e1SSteve Gou      real_pc,
7872e1be6e1SSteve Gou      Mux(io.in.s1_oldest_redirect.bits.cfiUpdate.taken,
7882e1be6e1SSteve Gou        Mux(s1_isJump, io.in.s1_jumpTarget, brTarget),
7892e1be6e1SSteve Gou        snpc
7902e1be6e1SSteve Gou      )
7912e1be6e1SSteve Gou    )
7922e1be6e1SSteve Gou
7932e1be6e1SSteve Gou    val redirectGenRes = WireInit(io.in.rawRedirect)
7942e1be6e1SSteve Gou    redirectGenRes.bits.cfiUpdate.pc := real_pc
7952e1be6e1SSteve Gou    redirectGenRes.bits.cfiUpdate.pd := s1_pd
7962e1be6e1SSteve Gou    redirectGenRes.bits.cfiUpdate.target := target
7972e1be6e1SSteve Gou
7982e1be6e1SSteve Gou    val realRedirect = Wire(Valid(new Redirect))
7992e1be6e1SSteve Gou    realRedirect.valid := redirectGenRes.valid || io.in.flushRedirect.valid
8002e1be6e1SSteve Gou    realRedirect.bits := Mux(io.in.flushRedirect.valid, io.in.flushRedirect.bits, redirectGenRes.bits)
8012e1be6e1SSteve Gou
8022e1be6e1SSteve Gou    when (io.in.flushRedirect.valid) {
8032e1be6e1SSteve Gou      realRedirect.bits.level := RedirectLevel.flush
8042e1be6e1SSteve Gou      realRedirect.bits.cfiUpdate.target := io.in.frontendFlushTarget
8052e1be6e1SSteve Gou    }
8062e1be6e1SSteve Gou
8072e1be6e1SSteve Gou    io.out := realRedirect
8082e1be6e1SSteve Gou    io.s1_real_pc := real_pc
8092e1be6e1SSteve Gou    XSError((io.debug_diff.valid || realRedirect.valid) && io.debug_diff.asUInt =/= io.out.asUInt, "redirect wrong")
8102e1be6e1SSteve Gou
8112e1be6e1SSteve Gou  }
8122e1be6e1SSteve Gou
8132e1be6e1SSteve Gou  val redirectGen = Module(new RedirectGen)
8142e1be6e1SSteve Gou  redirectGen.io.in <> io.fromBackend.for_redirect_gen
8152e1be6e1SSteve Gou  redirectGen.io.stage1Pc := io.toBackend.getRedirectPcReadData
8162e1be6e1SSteve Gou  redirectGen.io.debug_diff := io.fromBackend.redirect
8172e1be6e1SSteve Gou  backendRedirect := redirectGen.io.out
8182e1be6e1SSteve Gou
8192e1be6e1SSteve Gou  io.toBackend.redirect_s1_real_pc := redirectGen.io.s1_real_pc
8202e1be6e1SSteve Gou
82109c6f1ddSLingrui98  def extractRedirectInfo(wb: Valid[Redirect]) = {
82209c6f1ddSLingrui98    val ftqIdx = wb.bits.ftqIdx.value
82309c6f1ddSLingrui98    val ftqOffset = wb.bits.ftqOffset
82409c6f1ddSLingrui98    val taken = wb.bits.cfiUpdate.taken
82509c6f1ddSLingrui98    val mispred = wb.bits.cfiUpdate.isMisPred
82609c6f1ddSLingrui98    (wb.valid, ftqIdx, ftqOffset, taken, mispred)
82709c6f1ddSLingrui98  }
82809c6f1ddSLingrui98
82909c6f1ddSLingrui98  // fix mispredict entry
83009c6f1ddSLingrui98  val lastIsMispredict = RegNext(
831df5b4b8eSYinan Xu    backendRedirect.valid && backendRedirect.bits.level === RedirectLevel.flushAfter, init = false.B
83209c6f1ddSLingrui98  )
83309c6f1ddSLingrui98
83409c6f1ddSLingrui98  def updateCfiInfo(redirect: Valid[Redirect], isBackend: Boolean = true) = {
83509c6f1ddSLingrui98    val (r_valid, r_idx, r_offset, r_taken, r_mispred) = extractRedirectInfo(redirect)
83609c6f1ddSLingrui98    val cfiIndex_bits_wen = r_valid && r_taken && r_offset < cfiIndex_vec(r_idx).bits
83709c6f1ddSLingrui98    val cfiIndex_valid_wen = r_valid && r_offset === cfiIndex_vec(r_idx).bits
83809c6f1ddSLingrui98    when (cfiIndex_bits_wen || cfiIndex_valid_wen) {
83909c6f1ddSLingrui98      cfiIndex_vec(r_idx).valid := cfiIndex_bits_wen || cfiIndex_valid_wen && r_taken
84009c6f1ddSLingrui98    }
84109c6f1ddSLingrui98    when (cfiIndex_bits_wen) {
84209c6f1ddSLingrui98      cfiIndex_vec(r_idx).bits := r_offset
84309c6f1ddSLingrui98    }
84409c6f1ddSLingrui98    update_target(r_idx) := redirect.bits.cfiUpdate.target
84509c6f1ddSLingrui98    if (isBackend) {
84609c6f1ddSLingrui98      mispredict_vec(r_idx)(r_offset) := r_mispred
84709c6f1ddSLingrui98    }
84809c6f1ddSLingrui98  }
84909c6f1ddSLingrui98
850df5b4b8eSYinan Xu  when(backendRedirectReg.valid && lastIsMispredict) {
851df5b4b8eSYinan Xu    updateCfiInfo(backendRedirectReg)
85209c6f1ddSLingrui98  }.elsewhen (ifuRedirectToBpu.valid) {
85309c6f1ddSLingrui98    updateCfiInfo(ifuRedirectToBpu, isBackend=false)
85409c6f1ddSLingrui98  }
85509c6f1ddSLingrui98
85609c6f1ddSLingrui98  // ***********************************************************************************
85709c6f1ddSLingrui98  // **************************** flush ptr and state queue ****************************
85809c6f1ddSLingrui98  // ***********************************************************************************
85909c6f1ddSLingrui98
860df5b4b8eSYinan Xu  val redirectVec = VecInit(backendRedirect, fromIfuRedirect)
86109c6f1ddSLingrui98
86209c6f1ddSLingrui98  // when redirect, we should reset ptrs and status queues
86309c6f1ddSLingrui98  when(redirectVec.map(r => r.valid).reduce(_||_)){
8642f4a3aa4SLingrui98    val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
86509c6f1ddSLingrui98    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
8662f4a3aa4SLingrui98    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
86709c6f1ddSLingrui98    val next = idx + 1.U
86809c6f1ddSLingrui98    bpuPtr := next
86909c6f1ddSLingrui98    ifuPtr := next
87009c6f1ddSLingrui98    ifuWbPtr := next
87109c6f1ddSLingrui98    when (notIfu) {
87209c6f1ddSLingrui98      commitStateQueue(idx.value).zipWithIndex.foreach({ case (s, i) =>
87309c6f1ddSLingrui98        when(i.U > offset || i.U === offset && flushItSelf){
87409c6f1ddSLingrui98          s := c_invalid
87509c6f1ddSLingrui98        }
87609c6f1ddSLingrui98      })
87709c6f1ddSLingrui98    }
87809c6f1ddSLingrui98  }
87909c6f1ddSLingrui98
88009c6f1ddSLingrui98  // only the valid bit is actually needed
881df5b4b8eSYinan Xu  io.toIfu.redirect.bits    := backendRedirect.bits
88209c6f1ddSLingrui98  io.toIfu.redirect.valid   := stage2Flush
88309c6f1ddSLingrui98
88409c6f1ddSLingrui98  // commit
8859aca92b9SYinan Xu  for (c <- io.fromBackend.rob_commits) {
88609c6f1ddSLingrui98    when(c.valid) {
88709c6f1ddSLingrui98      commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset) := c_commited
88888825c5cSYinan Xu      // TODO: remove this
88988825c5cSYinan Xu      // For instruction fusions, we also update the next instruction
890c3abb8b6SYinan Xu      when (c.bits.commitType === 4.U) {
89188825c5cSYinan Xu        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 1.U) := c_commited
892c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 5.U) {
89388825c5cSYinan Xu        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 2.U) := c_commited
894c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 6.U) {
89588825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
89688825c5cSYinan Xu        commitStateQueue(index)(0) := c_commited
897c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 7.U) {
89888825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
89988825c5cSYinan Xu        commitStateQueue(index)(1) := c_commited
90088825c5cSYinan Xu      }
90109c6f1ddSLingrui98    }
90209c6f1ddSLingrui98  }
90309c6f1ddSLingrui98
90409c6f1ddSLingrui98  // ****************************************************************
90509c6f1ddSLingrui98  // **************************** to bpu ****************************
90609c6f1ddSLingrui98  // ****************************************************************
90709c6f1ddSLingrui98
90809c6f1ddSLingrui98  io.toBpu.redirect <> Mux(fromBackendRedirect.valid, fromBackendRedirect, ifuRedirectToBpu)
90909c6f1ddSLingrui98
9105371700eSzoujr  val may_have_stall_from_bpu = RegInit(false.B)
9115371700eSzoujr  val canCommit = commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
91209c6f1ddSLingrui98    Cat(commitStateQueue(commPtr.value).map(s => {
91309c6f1ddSLingrui98      s === c_invalid || s === c_commited
91409c6f1ddSLingrui98    })).andR()
91509c6f1ddSLingrui98
91609c6f1ddSLingrui98  // commit reads
91709c6f1ddSLingrui98  ftq_pc_mem.io.raddr.last := commPtr.value
91809c6f1ddSLingrui98  val commit_pc_bundle = ftq_pc_mem.io.rdata.last
91909c6f1ddSLingrui98  ftq_pd_mem.io.raddr.last := commPtr.value
92009c6f1ddSLingrui98  val commit_pd = ftq_pd_mem.io.rdata.last
92109c6f1ddSLingrui98  ftq_redirect_sram.io.ren.last := canCommit
92209c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.last := commPtr.value
92309c6f1ddSLingrui98  val commit_spec_meta = ftq_redirect_sram.io.rdata.last
92409c6f1ddSLingrui98  ftq_meta_1r_sram.io.ren(0) := canCommit
92509c6f1ddSLingrui98  ftq_meta_1r_sram.io.raddr(0) := commPtr.value
92609c6f1ddSLingrui98  val commit_meta = ftq_meta_1r_sram.io.rdata(0)
92709c6f1ddSLingrui98  ftb_entry_mem.io.raddr.last := commPtr.value
92809c6f1ddSLingrui98  val commit_ftb_entry = ftb_entry_mem.io.rdata.last
92909c6f1ddSLingrui98
93009c6f1ddSLingrui98  // need one cycle to read mem and srams
93109c6f1ddSLingrui98  val do_commit_ptr = RegNext(commPtr)
9325371700eSzoujr  val do_commit = RegNext(canCommit, init=false.B)
93309c6f1ddSLingrui98  when (canCommit) { commPtr := commPtr + 1.U }
93409c6f1ddSLingrui98  val commit_state = RegNext(commitStateQueue(commPtr.value))
9355371700eSzoujr  val can_commit_cfi = WireInit(cfiIndex_vec(commPtr.value))
9365371700eSzoujr  when (commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited) {
9375371700eSzoujr    can_commit_cfi.valid := false.B
93809c6f1ddSLingrui98  }
9395371700eSzoujr  val commit_cfi = RegNext(can_commit_cfi)
94009c6f1ddSLingrui98
94109c6f1ddSLingrui98  val commit_mispredict = VecInit((RegNext(mispredict_vec(commPtr.value)) zip commit_state).map {
94209c6f1ddSLingrui98    case (mis, state) => mis && state === c_commited
94309c6f1ddSLingrui98  })
9445371700eSzoujr  val can_commit_hit = entry_hit_status(commPtr.value)
9455371700eSzoujr  val commit_hit = RegNext(can_commit_hit)
94609c6f1ddSLingrui98  val commit_target = RegNext(update_target(commPtr.value))
947edc18578SLingrui98  val commit_stage = RegNext(pred_stage(commPtr.value))
94809c6f1ddSLingrui98  val commit_valid = commit_hit === h_hit || commit_cfi.valid // hit or taken
94909c6f1ddSLingrui98
9505371700eSzoujr  val to_bpu_hit = can_commit_hit === h_hit || can_commit_hit === h_false_hit
9511c8d9e26Szoujr  may_have_stall_from_bpu := can_commit_cfi.valid && !to_bpu_hit && !may_have_stall_from_bpu
95209c6f1ddSLingrui98
95309c6f1ddSLingrui98  io.toBpu.update := DontCare
95409c6f1ddSLingrui98  io.toBpu.update.valid := commit_valid && do_commit
95509c6f1ddSLingrui98  val update = io.toBpu.update.bits
95609c6f1ddSLingrui98  update.false_hit   := commit_hit === h_false_hit
95709c6f1ddSLingrui98  update.pc          := commit_pc_bundle.startAddr
95809c6f1ddSLingrui98  update.meta        := commit_meta.meta
9598ffcd86aSLingrui98  update.full_target := commit_target
960edc18578SLingrui98  update.from_stage  := commit_stage
96109c6f1ddSLingrui98  update.fromFtqRedirectSram(commit_spec_meta)
96209c6f1ddSLingrui98
96309c6f1ddSLingrui98  val commit_real_hit = commit_hit === h_hit
96409c6f1ddSLingrui98  val update_ftb_entry = update.ftb_entry
96509c6f1ddSLingrui98
96609c6f1ddSLingrui98  val ftbEntryGen = Module(new FTBEntryGen).io
96709c6f1ddSLingrui98  ftbEntryGen.start_addr     := commit_pc_bundle.startAddr
96809c6f1ddSLingrui98  ftbEntryGen.old_entry      := commit_ftb_entry
96909c6f1ddSLingrui98  ftbEntryGen.pd             := commit_pd
97009c6f1ddSLingrui98  ftbEntryGen.cfiIndex       := commit_cfi
97109c6f1ddSLingrui98  ftbEntryGen.target         := commit_target
97209c6f1ddSLingrui98  ftbEntryGen.hit            := commit_real_hit
97309c6f1ddSLingrui98  ftbEntryGen.mispredict_vec := commit_mispredict
97409c6f1ddSLingrui98
97509c6f1ddSLingrui98  update_ftb_entry         := ftbEntryGen.new_entry
97609c6f1ddSLingrui98  update.new_br_insert_pos := ftbEntryGen.new_br_insert_pos
97709c6f1ddSLingrui98  update.mispred_mask      := ftbEntryGen.mispred_mask
97809c6f1ddSLingrui98  update.old_entry         := ftbEntryGen.is_old_entry
979edc18578SLingrui98  update.pred_hit          := commit_hit === h_hit || commit_hit === h_false_hit
980b37e4b45SLingrui98
981b37e4b45SLingrui98  update.is_minimal := false.B
982b37e4b45SLingrui98  update.full_pred.fromFtbEntry(ftbEntryGen.new_entry, update.pc)
983b37e4b45SLingrui98  update.full_pred.br_taken_mask  := ftbEntryGen.taken_mask
984b37e4b45SLingrui98  update.full_pred.jalr_target := commit_target
985b37e4b45SLingrui98  update.full_pred.hit := true.B
986b37e4b45SLingrui98  when (update.full_pred.is_jalr) {
987b37e4b45SLingrui98    update.full_pred.targets.last := commit_target
988b37e4b45SLingrui98  }
98909c6f1ddSLingrui98
990e30430c2SJay  // ****************************************************************
991e30430c2SJay  // *********************** to prefetch ****************************
992e30430c2SJay  // ****************************************************************
993e30430c2SJay
994e30430c2SJay  if(cacheParams.hasPrefetch){
995e30430c2SJay    val prefetchPtr = RegInit(FtqPtr(false.B, 0.U))
996e30430c2SJay    prefetchPtr := prefetchPtr + io.toPrefetch.req.fire()
997e30430c2SJay
998e30430c2SJay    when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s2_resp.ftq_idx)) {
999e30430c2SJay      prefetchPtr := bpu_s2_resp.ftq_idx
1000e30430c2SJay    }
1001e30430c2SJay
1002cb4f77ceSLingrui98    when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s3_resp.ftq_idx)) {
1003cb4f77ceSLingrui98      prefetchPtr := bpu_s3_resp.ftq_idx
1004a3c55791SJinYue      // XSError(true.B, "\ns3_redirect mechanism not implemented!\n")
1005cb4f77ceSLingrui98    }
1006de7689fcSJay
1007259b970fSJinYue    io.toPrefetch.req.valid := prefetchPtr =/= bpuPtr && entry_fetch_status(prefetchPtr.value) === f_to_send
1008de7689fcSJay    io.toPrefetch.req.bits.target := update_target(prefetchPtr.value)
1009de7689fcSJay
1010de7689fcSJay    when(redirectVec.map(r => r.valid).reduce(_||_)){
1011de7689fcSJay      val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
1012de7689fcSJay      val next = r.ftqIdx + 1.U
1013de7689fcSJay      prefetchPtr := next
1014de7689fcSJay    }
1015de7689fcSJay
1016de7689fcSJay    XSError(isBefore(bpuPtr, prefetchPtr) && !isFull(bpuPtr, prefetchPtr), "\nprefetchPtr is before bpuPtr!\n")
1017de7689fcSJay  }
1018de7689fcSJay  else {
1019de7689fcSJay    io.toPrefetch.req <> DontCare
1020de7689fcSJay  }
1021de7689fcSJay
102209c6f1ddSLingrui98  // ******************************************************************************
102309c6f1ddSLingrui98  // **************************** commit perf counters ****************************
102409c6f1ddSLingrui98  // ******************************************************************************
102509c6f1ddSLingrui98
102609c6f1ddSLingrui98  val commit_inst_mask    = VecInit(commit_state.map(c => c === c_commited && do_commit)).asUInt
102709c6f1ddSLingrui98  val commit_mispred_mask = commit_mispredict.asUInt
102809c6f1ddSLingrui98  val commit_not_mispred_mask = ~commit_mispred_mask
102909c6f1ddSLingrui98
103009c6f1ddSLingrui98  val commit_br_mask = commit_pd.brMask.asUInt
103109c6f1ddSLingrui98  val commit_jmp_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.jmpInfo.valid.asTypeOf(UInt(1.W)))
103209c6f1ddSLingrui98  val commit_cfi_mask = (commit_br_mask | commit_jmp_mask)
103309c6f1ddSLingrui98
103409c6f1ddSLingrui98  val mbpInstrs = commit_inst_mask & commit_cfi_mask
103509c6f1ddSLingrui98
103609c6f1ddSLingrui98  val mbpRights = mbpInstrs & commit_not_mispred_mask
103709c6f1ddSLingrui98  val mbpWrongs = mbpInstrs & commit_mispred_mask
103809c6f1ddSLingrui98
103909c6f1ddSLingrui98  io.bpuInfo.bpRight := PopCount(mbpRights)
104009c6f1ddSLingrui98  io.bpuInfo.bpWrong := PopCount(mbpWrongs)
104109c6f1ddSLingrui98
104209c6f1ddSLingrui98  // Cfi Info
104309c6f1ddSLingrui98  for (i <- 0 until PredictWidth) {
104409c6f1ddSLingrui98    val pc = commit_pc_bundle.startAddr + (i * instBytes).U
104509c6f1ddSLingrui98    val v = commit_state(i) === c_commited
104609c6f1ddSLingrui98    val isBr = commit_pd.brMask(i)
104709c6f1ddSLingrui98    val isJmp = commit_pd.jmpInfo.valid && commit_pd.jmpOffset === i.U
104809c6f1ddSLingrui98    val isCfi = isBr || isJmp
104909c6f1ddSLingrui98    val isTaken = commit_cfi.valid && commit_cfi.bits === i.U
105009c6f1ddSLingrui98    val misPred = commit_mispredict(i)
1051c2ad24ebSLingrui98    // val ghist = commit_spec_meta.ghist.predHist
1052c2ad24ebSLingrui98    val histPtr = commit_spec_meta.histPtr
105309c6f1ddSLingrui98    val predCycle = commit_meta.meta(63, 0)
105409c6f1ddSLingrui98    val target = commit_target
105509c6f1ddSLingrui98
105609c6f1ddSLingrui98    val brIdx = OHToUInt(Reverse(Cat(update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U})))
105709c6f1ddSLingrui98    val inFtbEntry = update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U}.reduce(_||_)
105809c6f1ddSLingrui98    val addIntoHist = ((commit_hit === h_hit) && inFtbEntry) || ((!(commit_hit === h_hit) && i.U === commit_cfi.bits && isBr && commit_cfi.valid))
105909c6f1ddSLingrui98    XSDebug(v && do_commit && isCfi, p"cfi_update: isBr(${isBr}) pc(${Hexadecimal(pc)}) " +
1060c2ad24ebSLingrui98    p"taken(${isTaken}) mispred(${misPred}) cycle($predCycle) hist(${histPtr.value}) " +
106109c6f1ddSLingrui98    p"startAddr(${Hexadecimal(commit_pc_bundle.startAddr)}) AddIntoHist(${addIntoHist}) " +
106209c6f1ddSLingrui98    p"brInEntry(${inFtbEntry}) brIdx(${brIdx}) target(${Hexadecimal(target)})\n")
106309c6f1ddSLingrui98  }
106409c6f1ddSLingrui98
106509c6f1ddSLingrui98  val enq = io.fromBpu.resp
10662e1be6e1SSteve Gou  val perf_redirect = backendRedirect
106709c6f1ddSLingrui98
106809c6f1ddSLingrui98  XSPerfAccumulate("entry", validEntries)
106909c6f1ddSLingrui98  XSPerfAccumulate("bpu_to_ftq_stall", enq.valid && !enq.ready)
107009c6f1ddSLingrui98  XSPerfAccumulate("mispredictRedirect", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level)
107109c6f1ddSLingrui98  XSPerfAccumulate("replayRedirect", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level))
107209c6f1ddSLingrui98  XSPerfAccumulate("predecodeRedirect", fromIfuRedirect.valid)
107309c6f1ddSLingrui98
107409c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_bubble", io.toIfu.req.ready && !io.toIfu.req.valid)
107509c6f1ddSLingrui98
107609c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_stall", io.toIfu.req.valid && !io.toIfu.req.ready)
107709c6f1ddSLingrui98  XSPerfAccumulate("from_bpu_real_bubble", !enq.valid && enq.ready && allowBpuIn)
107812cedb6fSLingrui98  XSPerfAccumulate("bpu_to_ifu_bubble", bpuPtr === ifuPtr)
107909c6f1ddSLingrui98
108009c6f1ddSLingrui98  val from_bpu = io.fromBpu.resp.bits
108109c6f1ddSLingrui98  def in_entry_len_map_gen(resp: BranchPredictionBundle)(stage: String) = {
1082b37e4b45SLingrui98    assert(!resp.is_minimal)
108309c6f1ddSLingrui98    val entry_len = (resp.ftb_entry.getFallThrough(resp.pc) - resp.pc) >> instOffsetBits
108409c6f1ddSLingrui98    val entry_len_recording_vec = (1 to PredictWidth+1).map(i => entry_len === i.U)
108509c6f1ddSLingrui98    val entry_len_map = (1 to PredictWidth+1).map(i =>
108609c6f1ddSLingrui98      f"${stage}_ftb_entry_len_$i" -> (entry_len_recording_vec(i-1) && resp.valid)
108709c6f1ddSLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
108809c6f1ddSLingrui98    entry_len_map
108909c6f1ddSLingrui98  }
109009c6f1ddSLingrui98  val s2_entry_len_map = in_entry_len_map_gen(from_bpu.s2)("s2")
1091cb4f77ceSLingrui98  val s3_entry_len_map = in_entry_len_map_gen(from_bpu.s3)("s3")
109209c6f1ddSLingrui98
109309c6f1ddSLingrui98  val to_ifu = io.toIfu.req.bits
109409c6f1ddSLingrui98
109509c6f1ddSLingrui98
109609c6f1ddSLingrui98
109709c6f1ddSLingrui98  val commit_num_inst_recording_vec = (1 to PredictWidth).map(i => PopCount(commit_inst_mask) === i.U)
109809c6f1ddSLingrui98  val commit_num_inst_map = (1 to PredictWidth).map(i =>
109909c6f1ddSLingrui98    f"commit_num_inst_$i" -> (commit_num_inst_recording_vec(i-1) && do_commit)
110009c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
110109c6f1ddSLingrui98
110209c6f1ddSLingrui98
110309c6f1ddSLingrui98
110409c6f1ddSLingrui98  val commit_jal_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJal.asTypeOf(UInt(1.W)))
110509c6f1ddSLingrui98  val commit_jalr_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJalr.asTypeOf(UInt(1.W)))
110609c6f1ddSLingrui98  val commit_call_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasCall.asTypeOf(UInt(1.W)))
110709c6f1ddSLingrui98  val commit_ret_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasRet.asTypeOf(UInt(1.W)))
110809c6f1ddSLingrui98
110909c6f1ddSLingrui98
111009c6f1ddSLingrui98  val mbpBRights = mbpRights & commit_br_mask
111109c6f1ddSLingrui98  val mbpJRights = mbpRights & commit_jal_mask
111209c6f1ddSLingrui98  val mbpIRights = mbpRights & commit_jalr_mask
111309c6f1ddSLingrui98  val mbpCRights = mbpRights & commit_call_mask
111409c6f1ddSLingrui98  val mbpRRights = mbpRights & commit_ret_mask
111509c6f1ddSLingrui98
111609c6f1ddSLingrui98  val mbpBWrongs = mbpWrongs & commit_br_mask
111709c6f1ddSLingrui98  val mbpJWrongs = mbpWrongs & commit_jal_mask
111809c6f1ddSLingrui98  val mbpIWrongs = mbpWrongs & commit_jalr_mask
111909c6f1ddSLingrui98  val mbpCWrongs = mbpWrongs & commit_call_mask
112009c6f1ddSLingrui98  val mbpRWrongs = mbpWrongs & commit_ret_mask
112109c6f1ddSLingrui98
11221d7e5011SLingrui98  val commit_pred_stage = RegNext(pred_stage(commPtr.value))
11231d7e5011SLingrui98
11241d7e5011SLingrui98  def pred_stage_map(src: UInt, name: String) = {
11251d7e5011SLingrui98    (0 until numBpStages).map(i =>
11261d7e5011SLingrui98      f"${name}_stage_${i+1}" -> PopCount(src.asBools.map(_ && commit_pred_stage === BP_STAGES(i)))
11271d7e5011SLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
11281d7e5011SLingrui98  }
11291d7e5011SLingrui98
11301d7e5011SLingrui98  val mispred_stage_map      = pred_stage_map(mbpWrongs,  "mispredict")
11311d7e5011SLingrui98  val br_mispred_stage_map   = pred_stage_map(mbpBWrongs, "br_mispredict")
11321d7e5011SLingrui98  val jalr_mispred_stage_map = pred_stage_map(mbpIWrongs, "jalr_mispredict")
11331d7e5011SLingrui98  val correct_stage_map      = pred_stage_map(mbpRights,  "correct")
11341d7e5011SLingrui98  val br_correct_stage_map   = pred_stage_map(mbpBRights, "br_correct")
11351d7e5011SLingrui98  val jalr_correct_stage_map = pred_stage_map(mbpIRights, "jalr_correct")
11361d7e5011SLingrui98
113709c6f1ddSLingrui98  val update_valid = io.toBpu.update.valid
113809c6f1ddSLingrui98  def u(cond: Bool) = update_valid && cond
113909c6f1ddSLingrui98  val ftb_false_hit = u(update.false_hit)
114065fddcf0Szoujr  // assert(!ftb_false_hit)
114109c6f1ddSLingrui98  val ftb_hit = u(commit_hit === h_hit)
114209c6f1ddSLingrui98
114309c6f1ddSLingrui98  val ftb_new_entry = u(ftbEntryGen.is_init_entry)
1144b37e4b45SLingrui98  val ftb_new_entry_only_br = ftb_new_entry && !update_ftb_entry.jmpValid
1145b37e4b45SLingrui98  val ftb_new_entry_only_jmp = ftb_new_entry && !update_ftb_entry.brValids(0)
1146b37e4b45SLingrui98  val ftb_new_entry_has_br_and_jmp = ftb_new_entry && update_ftb_entry.brValids(0) && update_ftb_entry.jmpValid
114709c6f1ddSLingrui98
114809c6f1ddSLingrui98  val ftb_old_entry = u(ftbEntryGen.is_old_entry)
114909c6f1ddSLingrui98
115009c6f1ddSLingrui98  val ftb_modified_entry = u(ftbEntryGen.is_new_br || ftbEntryGen.is_jalr_target_modified || ftbEntryGen.is_always_taken_modified)
115109c6f1ddSLingrui98  val ftb_modified_entry_new_br = u(ftbEntryGen.is_new_br)
115209c6f1ddSLingrui98  val ftb_modified_entry_jalr_target_modified = u(ftbEntryGen.is_jalr_target_modified)
115309c6f1ddSLingrui98  val ftb_modified_entry_br_full = ftb_modified_entry && ftbEntryGen.is_br_full
115409c6f1ddSLingrui98  val ftb_modified_entry_always_taken = ftb_modified_entry && ftbEntryGen.is_always_taken_modified
115509c6f1ddSLingrui98
115609c6f1ddSLingrui98  val ftb_entry_len = (ftbEntryGen.new_entry.getFallThrough(update.pc) - update.pc) >> instOffsetBits
115709c6f1ddSLingrui98  val ftb_entry_len_recording_vec = (1 to PredictWidth+1).map(i => ftb_entry_len === i.U)
115809c6f1ddSLingrui98  val ftb_init_entry_len_map = (1 to PredictWidth+1).map(i =>
115909c6f1ddSLingrui98    f"ftb_init_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_new_entry)
116009c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
116109c6f1ddSLingrui98  val ftb_modified_entry_len_map = (1 to PredictWidth+1).map(i =>
116209c6f1ddSLingrui98    f"ftb_modified_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_modified_entry)
116309c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
116409c6f1ddSLingrui98
116509c6f1ddSLingrui98  val ftq_occupancy_map = (0 to FtqSize).map(i =>
116609c6f1ddSLingrui98    f"ftq_has_entry_$i" ->( validEntries === i.U)
116709c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
116809c6f1ddSLingrui98
116909c6f1ddSLingrui98  val perfCountsMap = Map(
117009c6f1ddSLingrui98    "BpInstr" -> PopCount(mbpInstrs),
117109c6f1ddSLingrui98    "BpBInstr" -> PopCount(mbpBRights | mbpBWrongs),
117209c6f1ddSLingrui98    "BpRight"  -> PopCount(mbpRights),
117309c6f1ddSLingrui98    "BpWrong"  -> PopCount(mbpWrongs),
117409c6f1ddSLingrui98    "BpBRight" -> PopCount(mbpBRights),
117509c6f1ddSLingrui98    "BpBWrong" -> PopCount(mbpBWrongs),
117609c6f1ddSLingrui98    "BpJRight" -> PopCount(mbpJRights),
117709c6f1ddSLingrui98    "BpJWrong" -> PopCount(mbpJWrongs),
117809c6f1ddSLingrui98    "BpIRight" -> PopCount(mbpIRights),
117909c6f1ddSLingrui98    "BpIWrong" -> PopCount(mbpIWrongs),
118009c6f1ddSLingrui98    "BpCRight" -> PopCount(mbpCRights),
118109c6f1ddSLingrui98    "BpCWrong" -> PopCount(mbpCWrongs),
118209c6f1ddSLingrui98    "BpRRight" -> PopCount(mbpRRights),
118309c6f1ddSLingrui98    "BpRWrong" -> PopCount(mbpRWrongs),
118409c6f1ddSLingrui98
118509c6f1ddSLingrui98    "ftb_false_hit"                -> PopCount(ftb_false_hit),
118609c6f1ddSLingrui98    "ftb_hit"                      -> PopCount(ftb_hit),
118709c6f1ddSLingrui98    "ftb_new_entry"                -> PopCount(ftb_new_entry),
118809c6f1ddSLingrui98    "ftb_new_entry_only_br"        -> PopCount(ftb_new_entry_only_br),
118909c6f1ddSLingrui98    "ftb_new_entry_only_jmp"       -> PopCount(ftb_new_entry_only_jmp),
119009c6f1ddSLingrui98    "ftb_new_entry_has_br_and_jmp" -> PopCount(ftb_new_entry_has_br_and_jmp),
119109c6f1ddSLingrui98    "ftb_old_entry"                -> PopCount(ftb_old_entry),
119209c6f1ddSLingrui98    "ftb_modified_entry"           -> PopCount(ftb_modified_entry),
119309c6f1ddSLingrui98    "ftb_modified_entry_new_br"    -> PopCount(ftb_modified_entry_new_br),
119409c6f1ddSLingrui98    "ftb_jalr_target_modified"     -> PopCount(ftb_modified_entry_jalr_target_modified),
119509c6f1ddSLingrui98    "ftb_modified_entry_br_full"   -> PopCount(ftb_modified_entry_br_full),
119609c6f1ddSLingrui98    "ftb_modified_entry_always_taken" -> PopCount(ftb_modified_entry_always_taken)
11976d0e92edSLingrui98  ) ++ ftb_init_entry_len_map ++ ftb_modified_entry_len_map ++ s2_entry_len_map ++
1198cb4f77ceSLingrui98  s3_entry_len_map ++ commit_num_inst_map ++ ftq_occupancy_map ++
11991d7e5011SLingrui98  mispred_stage_map ++ br_mispred_stage_map ++ jalr_mispred_stage_map ++
12001d7e5011SLingrui98  correct_stage_map ++ br_correct_stage_map ++ jalr_correct_stage_map
120109c6f1ddSLingrui98
120209c6f1ddSLingrui98  for((key, value) <- perfCountsMap) {
120309c6f1ddSLingrui98    XSPerfAccumulate(key, value)
120409c6f1ddSLingrui98  }
120509c6f1ddSLingrui98
120609c6f1ddSLingrui98  // --------------------------- Debug --------------------------------
120709c6f1ddSLingrui98  // XSDebug(enq_fire, p"enq! " + io.fromBpu.resp.bits.toPrintable)
120809c6f1ddSLingrui98  XSDebug(io.toIfu.req.fire, p"fire to ifu " + io.toIfu.req.bits.toPrintable)
120909c6f1ddSLingrui98  XSDebug(do_commit, p"deq! [ptr] $do_commit_ptr\n")
121009c6f1ddSLingrui98  XSDebug(true.B, p"[bpuPtr] $bpuPtr, [ifuPtr] $ifuPtr, [ifuWbPtr] $ifuWbPtr [commPtr] $commPtr\n")
121109c6f1ddSLingrui98  XSDebug(true.B, p"[in] v:${io.fromBpu.resp.valid} r:${io.fromBpu.resp.ready} " +
121209c6f1ddSLingrui98    p"[out] v:${io.toIfu.req.valid} r:${io.toIfu.req.ready}\n")
121309c6f1ddSLingrui98  XSDebug(do_commit, p"[deq info] cfiIndex: $commit_cfi, $commit_pc_bundle, target: ${Hexadecimal(commit_target)}\n")
121409c6f1ddSLingrui98
121509c6f1ddSLingrui98  //   def ubtbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
121609c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
121709c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
121809c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
121909c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
122009c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
122109c6f1ddSLingrui98  //           !taken),
122209c6f1ddSLingrui98  //         !taken),
122309c6f1ddSLingrui98  //       false.B)
122409c6f1ddSLingrui98  //     }
122509c6f1ddSLingrui98  //   }
122609c6f1ddSLingrui98
122709c6f1ddSLingrui98  //   def btbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
122809c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
122909c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
123009c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
123109c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
123209c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
123309c6f1ddSLingrui98  //           !taken),
123409c6f1ddSLingrui98  //         !taken),
123509c6f1ddSLingrui98  //       false.B)
123609c6f1ddSLingrui98  //     }
123709c6f1ddSLingrui98  //   }
123809c6f1ddSLingrui98
123909c6f1ddSLingrui98  //   def tageCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
124009c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
124109c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
124209c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
124309c6f1ddSLingrui98  //         isWrong ^ (ans.taken.asBool === taken),
124409c6f1ddSLingrui98  //       false.B)
124509c6f1ddSLingrui98  //     }
124609c6f1ddSLingrui98  //   }
124709c6f1ddSLingrui98
124809c6f1ddSLingrui98  //   def loopCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
124909c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
125009c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
125109c6f1ddSLingrui98  //       Mux(valid && (pd.isBr) && ans.hit.asBool,
125209c6f1ddSLingrui98  //         isWrong ^ (!taken),
125309c6f1ddSLingrui98  //           false.B)
125409c6f1ddSLingrui98  //     }
125509c6f1ddSLingrui98  //   }
125609c6f1ddSLingrui98
125709c6f1ddSLingrui98  //   def rasCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
125809c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
125909c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
126009c6f1ddSLingrui98  //       Mux(valid && pd.isRet.asBool /*&& taken*/ && ans.hit.asBool,
126109c6f1ddSLingrui98  //         isWrong ^ (ans.target === commitEntry.target),
126209c6f1ddSLingrui98  //           false.B)
126309c6f1ddSLingrui98  //     }
126409c6f1ddSLingrui98  //   }
126509c6f1ddSLingrui98
126609c6f1ddSLingrui98  //   val ubtbRights = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), false.B)
126709c6f1ddSLingrui98  //   val ubtbWrongs = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), true.B)
126809c6f1ddSLingrui98  //   // btb and ubtb pred jal and jalr as well
126909c6f1ddSLingrui98  //   val btbRights = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), false.B)
127009c6f1ddSLingrui98  //   val btbWrongs = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), true.B)
127109c6f1ddSLingrui98  //   val tageRights = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), false.B)
127209c6f1ddSLingrui98  //   val tageWrongs = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), true.B)
127309c6f1ddSLingrui98
127409c6f1ddSLingrui98  //   val loopRights = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), false.B)
127509c6f1ddSLingrui98  //   val loopWrongs = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), true.B)
127609c6f1ddSLingrui98
127709c6f1ddSLingrui98  //   val rasRights = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), false.B)
127809c6f1ddSLingrui98  //   val rasWrongs = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), true.B)
12791ca0e4f3SYinan Xu
1280cd365d4cSrvcoresjw  val perfEvents = Seq(
1281cd365d4cSrvcoresjw    ("bpu_s2_redirect        ", bpu_s2_redirect                                                             ),
1282cb4f77ceSLingrui98    ("bpu_s3_redirect        ", bpu_s3_redirect                                                             ),
1283cd365d4cSrvcoresjw    ("bpu_to_ftq_stall       ", enq.valid && ~enq.ready                                                     ),
1284cd365d4cSrvcoresjw    ("mispredictRedirect     ", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level),
1285cd365d4cSrvcoresjw    ("replayRedirect         ", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level)  ),
1286cd365d4cSrvcoresjw    ("predecodeRedirect      ", fromIfuRedirect.valid                                                       ),
1287cd365d4cSrvcoresjw    ("to_ifu_bubble          ", io.toIfu.req.ready && !io.toIfu.req.valid                                   ),
1288cd365d4cSrvcoresjw    ("from_bpu_real_bubble   ", !enq.valid && enq.ready && allowBpuIn                                       ),
1289cd365d4cSrvcoresjw    ("BpInstr                ", PopCount(mbpInstrs)                                                         ),
1290cd365d4cSrvcoresjw    ("BpBInstr               ", PopCount(mbpBRights | mbpBWrongs)                                           ),
1291cd365d4cSrvcoresjw    ("BpRight                ", PopCount(mbpRights)                                                         ),
1292cd365d4cSrvcoresjw    ("BpWrong                ", PopCount(mbpWrongs)                                                         ),
1293cd365d4cSrvcoresjw    ("BpBRight               ", PopCount(mbpBRights)                                                        ),
1294cd365d4cSrvcoresjw    ("BpBWrong               ", PopCount(mbpBWrongs)                                                        ),
1295cd365d4cSrvcoresjw    ("BpJRight               ", PopCount(mbpJRights)                                                        ),
1296cd365d4cSrvcoresjw    ("BpJWrong               ", PopCount(mbpJWrongs)                                                        ),
1297cd365d4cSrvcoresjw    ("BpIRight               ", PopCount(mbpIRights)                                                        ),
1298cd365d4cSrvcoresjw    ("BpIWrong               ", PopCount(mbpIWrongs)                                                        ),
1299cd365d4cSrvcoresjw    ("BpCRight               ", PopCount(mbpCRights)                                                        ),
1300cd365d4cSrvcoresjw    ("BpCWrong               ", PopCount(mbpCWrongs)                                                        ),
1301cd365d4cSrvcoresjw    ("BpRRight               ", PopCount(mbpRRights)                                                        ),
1302cd365d4cSrvcoresjw    ("BpRWrong               ", PopCount(mbpRWrongs)                                                        ),
1303cd365d4cSrvcoresjw    ("ftb_false_hit          ", PopCount(ftb_false_hit)                                                     ),
1304cd365d4cSrvcoresjw    ("ftb_hit                ", PopCount(ftb_hit)                                                           ),
1305cd365d4cSrvcoresjw  )
13061ca0e4f3SYinan Xu  generatePerfEvent()
130709c6f1ddSLingrui98}
1308