xref: /XiangShan/src/main/scala/xiangshan/frontend/NewFtq.scala (revision 88bc4f90df93921debcbd176c46ef18c4fb70854)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
1509c6f1ddSLingrui98***************************************************************************************/
1609c6f1ddSLingrui98
1709c6f1ddSLingrui98package xiangshan.frontend
1809c6f1ddSLingrui98
1909c6f1ddSLingrui98import chipsalliance.rocketchip.config.Parameters
2009c6f1ddSLingrui98import chisel3._
2109c6f1ddSLingrui98import chisel3.util._
221ca0e4f3SYinan Xuimport utils._
2309c6f1ddSLingrui98import xiangshan._
24e30430c2SJayimport xiangshan.frontend.icache._
251ca0e4f3SYinan Xuimport xiangshan.backend.CtrlToFtqIO
262e1be6e1SSteve Gouimport xiangshan.backend.decode.ImmUnion
2709c6f1ddSLingrui98
2809c6f1ddSLingrui98class FtqPtr(implicit p: Parameters) extends CircularQueuePtr[FtqPtr](
2909c6f1ddSLingrui98  p => p(XSCoreParamsKey).FtqSize
3009c6f1ddSLingrui98){
3109c6f1ddSLingrui98}
3209c6f1ddSLingrui98
3309c6f1ddSLingrui98object FtqPtr {
3409c6f1ddSLingrui98  def apply(f: Bool, v: UInt)(implicit p: Parameters): FtqPtr = {
3509c6f1ddSLingrui98    val ptr = Wire(new FtqPtr)
3609c6f1ddSLingrui98    ptr.flag := f
3709c6f1ddSLingrui98    ptr.value := v
3809c6f1ddSLingrui98    ptr
3909c6f1ddSLingrui98  }
4009c6f1ddSLingrui98  def inverse(ptr: FtqPtr)(implicit p: Parameters): FtqPtr = {
4109c6f1ddSLingrui98    apply(!ptr.flag, ptr.value)
4209c6f1ddSLingrui98  }
4309c6f1ddSLingrui98}
4409c6f1ddSLingrui98
4509c6f1ddSLingrui98class FtqNRSRAM[T <: Data](gen: T, numRead: Int)(implicit p: Parameters) extends XSModule {
4609c6f1ddSLingrui98
4709c6f1ddSLingrui98  val io = IO(new Bundle() {
4809c6f1ddSLingrui98    val raddr = Input(Vec(numRead, UInt(log2Up(FtqSize).W)))
4909c6f1ddSLingrui98    val ren = Input(Vec(numRead, Bool()))
5009c6f1ddSLingrui98    val rdata = Output(Vec(numRead, gen))
5109c6f1ddSLingrui98    val waddr = Input(UInt(log2Up(FtqSize).W))
5209c6f1ddSLingrui98    val wen = Input(Bool())
5309c6f1ddSLingrui98    val wdata = Input(gen)
5409c6f1ddSLingrui98  })
5509c6f1ddSLingrui98
5609c6f1ddSLingrui98  for(i <- 0 until numRead){
5709c6f1ddSLingrui98    val sram = Module(new SRAMTemplate(gen, FtqSize))
5809c6f1ddSLingrui98    sram.io.r.req.valid := io.ren(i)
5909c6f1ddSLingrui98    sram.io.r.req.bits.setIdx := io.raddr(i)
6009c6f1ddSLingrui98    io.rdata(i) := sram.io.r.resp.data(0)
6109c6f1ddSLingrui98    sram.io.w.req.valid := io.wen
6209c6f1ddSLingrui98    sram.io.w.req.bits.setIdx := io.waddr
6309c6f1ddSLingrui98    sram.io.w.req.bits.data := VecInit(io.wdata)
6409c6f1ddSLingrui98  }
6509c6f1ddSLingrui98
6609c6f1ddSLingrui98}
6709c6f1ddSLingrui98
6809c6f1ddSLingrui98class Ftq_RF_Components(implicit p: Parameters) extends XSBundle with BPUUtils {
6909c6f1ddSLingrui98  val startAddr = UInt(VAddrBits.W)
70b37e4b45SLingrui98  val nextLineAddr = UInt(VAddrBits.W)
7109c6f1ddSLingrui98  val isNextMask = Vec(PredictWidth, Bool())
72b37e4b45SLingrui98  val fallThruError = Bool()
73b37e4b45SLingrui98  // val carry = Bool()
7409c6f1ddSLingrui98  def getPc(offset: UInt) = {
7585215037SLingrui98    def getHigher(pc: UInt) = pc(VAddrBits-1, log2Ceil(PredictWidth)+instOffsetBits+1)
7685215037SLingrui98    def getOffset(pc: UInt) = pc(log2Ceil(PredictWidth)+instOffsetBits, instOffsetBits)
77b37e4b45SLingrui98    Cat(getHigher(Mux(isNextMask(offset) && startAddr(log2Ceil(PredictWidth)+instOffsetBits), nextLineAddr, startAddr)),
7809c6f1ddSLingrui98        getOffset(startAddr)+offset, 0.U(instOffsetBits.W))
7909c6f1ddSLingrui98  }
8009c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
81a229ab6cSLingrui98    def carryPos(addr: UInt) = addr(instOffsetBits+log2Ceil(PredictWidth)+1)
8209c6f1ddSLingrui98    this.startAddr := resp.pc
83a60a2901SLingrui98    this.nextLineAddr := resp.pc + (FetchWidth * 4 * 2).U // may be broken on other configs
8409c6f1ddSLingrui98    this.isNextMask := VecInit((0 until PredictWidth).map(i =>
8509c6f1ddSLingrui98      (resp.pc(log2Ceil(PredictWidth), 1) +& i.U)(log2Ceil(PredictWidth)).asBool()
8609c6f1ddSLingrui98    ))
87b37e4b45SLingrui98    this.fallThruError := resp.fallThruError
8809c6f1ddSLingrui98    this
8909c6f1ddSLingrui98  }
9009c6f1ddSLingrui98  override def toPrintable: Printable = {
91b37e4b45SLingrui98    p"startAddr:${Hexadecimal(startAddr)}"
9209c6f1ddSLingrui98  }
9309c6f1ddSLingrui98}
9409c6f1ddSLingrui98
9509c6f1ddSLingrui98class Ftq_pd_Entry(implicit p: Parameters) extends XSBundle {
9609c6f1ddSLingrui98  val brMask = Vec(PredictWidth, Bool())
9709c6f1ddSLingrui98  val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
9809c6f1ddSLingrui98  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
9909c6f1ddSLingrui98  val jalTarget = UInt(VAddrBits.W)
10009c6f1ddSLingrui98  val rvcMask = Vec(PredictWidth, Bool())
10109c6f1ddSLingrui98  def hasJal  = jmpInfo.valid && !jmpInfo.bits(0)
10209c6f1ddSLingrui98  def hasJalr = jmpInfo.valid && jmpInfo.bits(0)
10309c6f1ddSLingrui98  def hasCall = jmpInfo.valid && jmpInfo.bits(1)
10409c6f1ddSLingrui98  def hasRet  = jmpInfo.valid && jmpInfo.bits(2)
10509c6f1ddSLingrui98
10609c6f1ddSLingrui98  def fromPdWb(pdWb: PredecodeWritebackBundle) = {
10709c6f1ddSLingrui98    val pds = pdWb.pd
10809c6f1ddSLingrui98    this.brMask := VecInit(pds.map(pd => pd.isBr && pd.valid))
10909c6f1ddSLingrui98    this.jmpInfo.valid := VecInit(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid)).asUInt.orR
11009c6f1ddSLingrui98    this.jmpInfo.bits := ParallelPriorityMux(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid),
11109c6f1ddSLingrui98                                             pds.map(pd => VecInit(pd.isJalr, pd.isCall, pd.isRet)))
11209c6f1ddSLingrui98    this.jmpOffset := ParallelPriorityEncoder(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid))
11309c6f1ddSLingrui98    this.rvcMask := VecInit(pds.map(pd => pd.isRVC))
11409c6f1ddSLingrui98    this.jalTarget := pdWb.jalTarget
11509c6f1ddSLingrui98  }
11609c6f1ddSLingrui98
11709c6f1ddSLingrui98  def toPd(offset: UInt) = {
11809c6f1ddSLingrui98    require(offset.getWidth == log2Ceil(PredictWidth))
11909c6f1ddSLingrui98    val pd = Wire(new PreDecodeInfo)
12009c6f1ddSLingrui98    pd.valid := true.B
12109c6f1ddSLingrui98    pd.isRVC := rvcMask(offset)
12209c6f1ddSLingrui98    val isBr = brMask(offset)
12309c6f1ddSLingrui98    val isJalr = offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(0)
12409c6f1ddSLingrui98    pd.brType := Cat(offset === jmpOffset && jmpInfo.valid, isJalr || isBr)
12509c6f1ddSLingrui98    pd.isCall := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(1)
12609c6f1ddSLingrui98    pd.isRet  := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(2)
12709c6f1ddSLingrui98    pd
12809c6f1ddSLingrui98  }
12909c6f1ddSLingrui98}
13009c6f1ddSLingrui98
13109c6f1ddSLingrui98
13209c6f1ddSLingrui98
13309c6f1ddSLingrui98class Ftq_Redirect_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
13409c6f1ddSLingrui98  val rasSp = UInt(log2Ceil(RasSize).W)
13509c6f1ddSLingrui98  val rasEntry = new RASEntry
136b37e4b45SLingrui98  // val specCnt = Vec(numBr, UInt(10.W))
137c2ad24ebSLingrui98  // val ghist = new ShiftingGlobalHistory
138dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
13967402d75SLingrui98  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
14067402d75SLingrui98  val lastBrNumOH = UInt((numBr+1).W)
14167402d75SLingrui98
142c2ad24ebSLingrui98  val histPtr = new CGHPtr
14309c6f1ddSLingrui98
14409c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
145b37e4b45SLingrui98    assert(!resp.is_minimal)
14609c6f1ddSLingrui98    this.rasSp := resp.rasSp
14709c6f1ddSLingrui98    this.rasEntry := resp.rasTop
148dd6c0695SLingrui98    this.folded_hist := resp.folded_hist
14967402d75SLingrui98    this.afhob := resp.afhob
15067402d75SLingrui98    this.lastBrNumOH := resp.lastBrNumOH
151c2ad24ebSLingrui98    this.histPtr := resp.histPtr
15209c6f1ddSLingrui98    this
15309c6f1ddSLingrui98  }
15409c6f1ddSLingrui98}
15509c6f1ddSLingrui98
15609c6f1ddSLingrui98class Ftq_1R_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
15709c6f1ddSLingrui98  val meta = UInt(MaxMetaLength.W)
15809c6f1ddSLingrui98}
15909c6f1ddSLingrui98
16009c6f1ddSLingrui98class Ftq_Pred_Info(implicit p: Parameters) extends XSBundle {
16109c6f1ddSLingrui98  val target = UInt(VAddrBits.W)
16209c6f1ddSLingrui98  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
16309c6f1ddSLingrui98}
16409c6f1ddSLingrui98
16509c6f1ddSLingrui98
16609c6f1ddSLingrui98class FtqRead[T <: Data](private val gen: T)(implicit p: Parameters) extends XSBundle {
16709c6f1ddSLingrui98  val ptr = Output(new FtqPtr)
16809c6f1ddSLingrui98  val offset = Output(UInt(log2Ceil(PredictWidth).W))
16909c6f1ddSLingrui98  val data = Input(gen)
17009c6f1ddSLingrui98  def apply(ptr: FtqPtr, offset: UInt) = {
17109c6f1ddSLingrui98    this.ptr := ptr
17209c6f1ddSLingrui98    this.offset := offset
17309c6f1ddSLingrui98    this.data
17409c6f1ddSLingrui98  }
17509c6f1ddSLingrui98}
17609c6f1ddSLingrui98
17709c6f1ddSLingrui98
17809c6f1ddSLingrui98class FtqToBpuIO(implicit p: Parameters) extends XSBundle {
17909c6f1ddSLingrui98  val redirect = Valid(new BranchPredictionRedirect)
18009c6f1ddSLingrui98  val update = Valid(new BranchPredictionUpdate)
18109c6f1ddSLingrui98  val enq_ptr = Output(new FtqPtr)
18209c6f1ddSLingrui98}
18309c6f1ddSLingrui98
18409c6f1ddSLingrui98class FtqToIfuIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
18509c6f1ddSLingrui98  val req = Decoupled(new FetchRequestBundle)
18609c6f1ddSLingrui98  val redirect = Valid(new Redirect)
18709c6f1ddSLingrui98  val flushFromBpu = new Bundle {
18809c6f1ddSLingrui98    // when ifu pipeline is not stalled,
18909c6f1ddSLingrui98    // a packet from bpu s3 can reach f1 at most
19009c6f1ddSLingrui98    val s2 = Valid(new FtqPtr)
191cb4f77ceSLingrui98    val s3 = Valid(new FtqPtr)
19209c6f1ddSLingrui98    def shouldFlushBy(src: Valid[FtqPtr], idx_to_flush: FtqPtr) = {
19309c6f1ddSLingrui98      src.valid && !isAfter(src.bits, idx_to_flush)
19409c6f1ddSLingrui98    }
19509c6f1ddSLingrui98    def shouldFlushByStage2(idx: FtqPtr) = shouldFlushBy(s2, idx)
196cb4f77ceSLingrui98    def shouldFlushByStage3(idx: FtqPtr) = shouldFlushBy(s3, idx)
19709c6f1ddSLingrui98  }
19809c6f1ddSLingrui98}
19909c6f1ddSLingrui98
200c5c5edaeSJeniusclass FtqToICacheIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
201c5c5edaeSJenius  //NOTE: req.bits must be prepare in T cycle
202c5c5edaeSJenius  // while req.valid is set true in T + 1 cycle
203c5c5edaeSJenius  val req = Decoupled(new FtqToICacheRequestBundle)
204c5c5edaeSJenius}
205c5c5edaeSJenius
20609c6f1ddSLingrui98trait HasBackendRedirectInfo extends HasXSParameter {
2072e1be6e1SSteve Gou  def numRedirectPcRead = exuParameters.JmpCnt + exuParameters.AluCnt + 1
20809c6f1ddSLingrui98  def isLoadReplay(r: Valid[Redirect]) = r.bits.flushItself()
20909c6f1ddSLingrui98}
21009c6f1ddSLingrui98
21109c6f1ddSLingrui98class FtqToCtrlIO(implicit p: Parameters) extends XSBundle with HasBackendRedirectInfo {
212b56f947eSYinan Xu  // write to backend pc mem
213b56f947eSYinan Xu  val pc_mem_wen = Output(Bool())
214b56f947eSYinan Xu  val pc_mem_waddr = Output(UInt(log2Ceil(FtqSize).W))
215b56f947eSYinan Xu  val pc_mem_wdata = Output(new Ftq_RF_Components)
216873dc383SLingrui98  // newest target
217873dc383SLingrui98  val newest_entry_target = Output(UInt(VAddrBits.W))
218873dc383SLingrui98  val newest_entry_ptr = Output(new FtqPtr)
21909c6f1ddSLingrui98}
22009c6f1ddSLingrui98
22109c6f1ddSLingrui98
22209c6f1ddSLingrui98class FTBEntryGen(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo with HasBPUParameter {
22309c6f1ddSLingrui98  val io = IO(new Bundle {
22409c6f1ddSLingrui98    val start_addr = Input(UInt(VAddrBits.W))
22509c6f1ddSLingrui98    val old_entry = Input(new FTBEntry)
22609c6f1ddSLingrui98    val pd = Input(new Ftq_pd_Entry)
22709c6f1ddSLingrui98    val cfiIndex = Flipped(Valid(UInt(log2Ceil(PredictWidth).W)))
22809c6f1ddSLingrui98    val target = Input(UInt(VAddrBits.W))
22909c6f1ddSLingrui98    val hit = Input(Bool())
23009c6f1ddSLingrui98    val mispredict_vec = Input(Vec(PredictWidth, Bool()))
23109c6f1ddSLingrui98
23209c6f1ddSLingrui98    val new_entry = Output(new FTBEntry)
23309c6f1ddSLingrui98    val new_br_insert_pos = Output(Vec(numBr, Bool()))
23409c6f1ddSLingrui98    val taken_mask = Output(Vec(numBr, Bool()))
23509c6f1ddSLingrui98    val mispred_mask = Output(Vec(numBr+1, Bool()))
23609c6f1ddSLingrui98
23709c6f1ddSLingrui98    // for perf counters
23809c6f1ddSLingrui98    val is_init_entry = Output(Bool())
23909c6f1ddSLingrui98    val is_old_entry = Output(Bool())
24009c6f1ddSLingrui98    val is_new_br = Output(Bool())
24109c6f1ddSLingrui98    val is_jalr_target_modified = Output(Bool())
24209c6f1ddSLingrui98    val is_always_taken_modified = Output(Bool())
24309c6f1ddSLingrui98    val is_br_full = Output(Bool())
24409c6f1ddSLingrui98  })
24509c6f1ddSLingrui98
24609c6f1ddSLingrui98  // no mispredictions detected at predecode
24709c6f1ddSLingrui98  val hit = io.hit
24809c6f1ddSLingrui98  val pd = io.pd
24909c6f1ddSLingrui98
25009c6f1ddSLingrui98  val init_entry = WireInit(0.U.asTypeOf(new FTBEntry))
25109c6f1ddSLingrui98
25209c6f1ddSLingrui98
25309c6f1ddSLingrui98  val cfi_is_br = pd.brMask(io.cfiIndex.bits) && io.cfiIndex.valid
25409c6f1ddSLingrui98  val entry_has_jmp = pd.jmpInfo.valid
25509c6f1ddSLingrui98  val new_jmp_is_jal  = entry_has_jmp && !pd.jmpInfo.bits(0) && io.cfiIndex.valid
25609c6f1ddSLingrui98  val new_jmp_is_jalr = entry_has_jmp &&  pd.jmpInfo.bits(0) && io.cfiIndex.valid
25709c6f1ddSLingrui98  val new_jmp_is_call = entry_has_jmp &&  pd.jmpInfo.bits(1) && io.cfiIndex.valid
25809c6f1ddSLingrui98  val new_jmp_is_ret  = entry_has_jmp &&  pd.jmpInfo.bits(2) && io.cfiIndex.valid
25909c6f1ddSLingrui98  val last_jmp_rvi = entry_has_jmp && pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask.last
260a60a2901SLingrui98  // val last_br_rvi = cfi_is_br && io.cfiIndex.bits === (PredictWidth-1).U && !pd.rvcMask.last
26109c6f1ddSLingrui98
26209c6f1ddSLingrui98  val cfi_is_jal = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jal
26309c6f1ddSLingrui98  val cfi_is_jalr = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jalr
26409c6f1ddSLingrui98
265a60a2901SLingrui98  def carryPos = log2Ceil(PredictWidth)+instOffsetBits
26609c6f1ddSLingrui98  def getLower(pc: UInt) = pc(carryPos-1, instOffsetBits)
26709c6f1ddSLingrui98  // if not hit, establish a new entry
26809c6f1ddSLingrui98  init_entry.valid := true.B
26909c6f1ddSLingrui98  // tag is left for ftb to assign
270eeb5ff92SLingrui98
271eeb5ff92SLingrui98  // case br
272eeb5ff92SLingrui98  val init_br_slot = init_entry.getSlotForBr(0)
273eeb5ff92SLingrui98  when (cfi_is_br) {
274eeb5ff92SLingrui98    init_br_slot.valid := true.B
275eeb5ff92SLingrui98    init_br_slot.offset := io.cfiIndex.bits
276b37e4b45SLingrui98    init_br_slot.setLowerStatByTarget(io.start_addr, io.target, numBr == 1)
277eeb5ff92SLingrui98    init_entry.always_taken(0) := true.B // set to always taken on init
278eeb5ff92SLingrui98  }
279eeb5ff92SLingrui98
280eeb5ff92SLingrui98  // case jmp
281eeb5ff92SLingrui98  when (entry_has_jmp) {
282eeb5ff92SLingrui98    init_entry.tailSlot.offset := pd.jmpOffset
283eeb5ff92SLingrui98    init_entry.tailSlot.valid := new_jmp_is_jal || new_jmp_is_jalr
284eeb5ff92SLingrui98    init_entry.tailSlot.setLowerStatByTarget(io.start_addr, Mux(cfi_is_jalr, io.target, pd.jalTarget), isShare=false)
285eeb5ff92SLingrui98  }
286eeb5ff92SLingrui98
28709c6f1ddSLingrui98  val jmpPft = getLower(io.start_addr) +& pd.jmpOffset +& Mux(pd.rvcMask(pd.jmpOffset), 1.U, 2.U)
288a60a2901SLingrui98  init_entry.pftAddr := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft, getLower(io.start_addr))
289a60a2901SLingrui98  init_entry.carry   := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft(carryPos-instOffsetBits), true.B)
29009c6f1ddSLingrui98  init_entry.isJalr := new_jmp_is_jalr
29109c6f1ddSLingrui98  init_entry.isCall := new_jmp_is_call
29209c6f1ddSLingrui98  init_entry.isRet  := new_jmp_is_ret
293f4ebc4b2SLingrui98  // that means fall thru points to the middle of an inst
294ae409b75SSteve Gou  init_entry.last_may_be_rvi_call := pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask(pd.jmpOffset)
29509c6f1ddSLingrui98
29609c6f1ddSLingrui98  // if hit, check whether a new cfi(only br is possible) is detected
29709c6f1ddSLingrui98  val oe = io.old_entry
298eeb5ff92SLingrui98  val br_recorded_vec = oe.getBrRecordedVec(io.cfiIndex.bits)
29909c6f1ddSLingrui98  val br_recorded = br_recorded_vec.asUInt.orR
30009c6f1ddSLingrui98  val is_new_br = cfi_is_br && !br_recorded
30109c6f1ddSLingrui98  val new_br_offset = io.cfiIndex.bits
30209c6f1ddSLingrui98  // vec(i) means new br will be inserted BEFORE old br(i)
303eeb5ff92SLingrui98  val allBrSlotsVec = oe.allSlotsForBr
30409c6f1ddSLingrui98  val new_br_insert_onehot = VecInit((0 until numBr).map{
30509c6f1ddSLingrui98    i => i match {
306eeb5ff92SLingrui98      case 0 =>
307eeb5ff92SLingrui98        !allBrSlotsVec(0).valid || new_br_offset < allBrSlotsVec(0).offset
308eeb5ff92SLingrui98      case idx =>
309eeb5ff92SLingrui98        allBrSlotsVec(idx-1).valid && new_br_offset > allBrSlotsVec(idx-1).offset &&
310eeb5ff92SLingrui98        (!allBrSlotsVec(idx).valid || new_br_offset < allBrSlotsVec(idx).offset)
31109c6f1ddSLingrui98    }
31209c6f1ddSLingrui98  })
31309c6f1ddSLingrui98
31409c6f1ddSLingrui98  val old_entry_modified = WireInit(io.old_entry)
31509c6f1ddSLingrui98  for (i <- 0 until numBr) {
316eeb5ff92SLingrui98    val slot = old_entry_modified.allSlotsForBr(i)
317eeb5ff92SLingrui98    when (new_br_insert_onehot(i)) {
318eeb5ff92SLingrui98      slot.valid := true.B
319eeb5ff92SLingrui98      slot.offset := new_br_offset
320b37e4b45SLingrui98      slot.setLowerStatByTarget(io.start_addr, io.target, i == numBr-1)
321eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := true.B
322eeb5ff92SLingrui98    }.elsewhen (new_br_offset > oe.allSlotsForBr(i).offset) {
323eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := false.B
324eeb5ff92SLingrui98      // all other fields remain unchanged
325eeb5ff92SLingrui98    }.otherwise {
326eeb5ff92SLingrui98      // case i == 0, remain unchanged
327eeb5ff92SLingrui98      if (i != 0) {
328b37e4b45SLingrui98        val noNeedToMoveFromFormerSlot = (i == numBr-1).B && !oe.brSlots.last.valid
329eeb5ff92SLingrui98        when (!noNeedToMoveFromFormerSlot) {
330eeb5ff92SLingrui98          slot.fromAnotherSlot(oe.allSlotsForBr(i-1))
331eeb5ff92SLingrui98          old_entry_modified.always_taken(i) := oe.always_taken(i)
33209c6f1ddSLingrui98        }
333eeb5ff92SLingrui98      }
334eeb5ff92SLingrui98    }
335eeb5ff92SLingrui98  }
33609c6f1ddSLingrui98
337eeb5ff92SLingrui98  // two circumstances:
338eeb5ff92SLingrui98  // 1. oe: | br | j  |, new br should be in front of j, thus addr of j should be new pft
339eeb5ff92SLingrui98  // 2. oe: | br | br |, new br could be anywhere between, thus new pft is the addr of either
340eeb5ff92SLingrui98  //        the previous last br or the new br
341eeb5ff92SLingrui98  val may_have_to_replace = oe.noEmptySlotForNewBr
342eeb5ff92SLingrui98  val pft_need_to_change = is_new_br && may_have_to_replace
34309c6f1ddSLingrui98  // it should either be the given last br or the new br
34409c6f1ddSLingrui98  when (pft_need_to_change) {
345eeb5ff92SLingrui98    val new_pft_offset =
346710a8720SLingrui98      Mux(!new_br_insert_onehot.asUInt.orR,
347710a8720SLingrui98        new_br_offset, oe.allSlotsForBr.last.offset)
348eeb5ff92SLingrui98
349710a8720SLingrui98    // set jmp to invalid
35009c6f1ddSLingrui98    old_entry_modified.pftAddr := getLower(io.start_addr) + new_pft_offset
35109c6f1ddSLingrui98    old_entry_modified.carry := (getLower(io.start_addr) +& new_pft_offset).head(1).asBool
352f4ebc4b2SLingrui98    old_entry_modified.last_may_be_rvi_call := false.B
35309c6f1ddSLingrui98    old_entry_modified.isCall := false.B
35409c6f1ddSLingrui98    old_entry_modified.isRet := false.B
355eeb5ff92SLingrui98    old_entry_modified.isJalr := false.B
35609c6f1ddSLingrui98  }
35709c6f1ddSLingrui98
35809c6f1ddSLingrui98  val old_entry_jmp_target_modified = WireInit(oe)
359710a8720SLingrui98  val old_target = oe.tailSlot.getTarget(io.start_addr) // may be wrong because we store only 20 lowest bits
360b37e4b45SLingrui98  val old_tail_is_jmp = !oe.tailSlot.sharing
361eeb5ff92SLingrui98  val jalr_target_modified = cfi_is_jalr && (old_target =/= io.target) && old_tail_is_jmp // TODO: pass full jalr target
3623bcae573SLingrui98  when (jalr_target_modified) {
36309c6f1ddSLingrui98    old_entry_jmp_target_modified.setByJmpTarget(io.start_addr, io.target)
36409c6f1ddSLingrui98    old_entry_jmp_target_modified.always_taken := 0.U.asTypeOf(Vec(numBr, Bool()))
36509c6f1ddSLingrui98  }
36609c6f1ddSLingrui98
36709c6f1ddSLingrui98  val old_entry_always_taken = WireInit(oe)
36809c6f1ddSLingrui98  val always_taken_modified_vec = Wire(Vec(numBr, Bool())) // whether modified or not
36909c6f1ddSLingrui98  for (i <- 0 until numBr) {
37009c6f1ddSLingrui98    old_entry_always_taken.always_taken(i) :=
37109c6f1ddSLingrui98      oe.always_taken(i) && io.cfiIndex.valid && oe.brValids(i) && io.cfiIndex.bits === oe.brOffset(i)
372710a8720SLingrui98    always_taken_modified_vec(i) := oe.always_taken(i) && !old_entry_always_taken.always_taken(i)
37309c6f1ddSLingrui98  }
37409c6f1ddSLingrui98  val always_taken_modified = always_taken_modified_vec.reduce(_||_)
37509c6f1ddSLingrui98
37609c6f1ddSLingrui98
37709c6f1ddSLingrui98
37809c6f1ddSLingrui98  val derived_from_old_entry =
37909c6f1ddSLingrui98    Mux(is_new_br, old_entry_modified,
3803bcae573SLingrui98      Mux(jalr_target_modified, old_entry_jmp_target_modified, old_entry_always_taken))
38109c6f1ddSLingrui98
38209c6f1ddSLingrui98
38309c6f1ddSLingrui98  io.new_entry := Mux(!hit, init_entry, derived_from_old_entry)
38409c6f1ddSLingrui98
38509c6f1ddSLingrui98  io.new_br_insert_pos := new_br_insert_onehot
38609c6f1ddSLingrui98  io.taken_mask := VecInit((io.new_entry.brOffset zip io.new_entry.brValids).map{
38709c6f1ddSLingrui98    case (off, v) => io.cfiIndex.bits === off && io.cfiIndex.valid && v
38809c6f1ddSLingrui98  })
38909c6f1ddSLingrui98  for (i <- 0 until numBr) {
39009c6f1ddSLingrui98    io.mispred_mask(i) := io.new_entry.brValids(i) && io.mispredict_vec(io.new_entry.brOffset(i))
39109c6f1ddSLingrui98  }
39209c6f1ddSLingrui98  io.mispred_mask.last := io.new_entry.jmpValid && io.mispredict_vec(pd.jmpOffset)
39309c6f1ddSLingrui98
39409c6f1ddSLingrui98  // for perf counters
39509c6f1ddSLingrui98  io.is_init_entry := !hit
3963bcae573SLingrui98  io.is_old_entry := hit && !is_new_br && !jalr_target_modified && !always_taken_modified
39709c6f1ddSLingrui98  io.is_new_br := hit && is_new_br
3983bcae573SLingrui98  io.is_jalr_target_modified := hit && jalr_target_modified
39909c6f1ddSLingrui98  io.is_always_taken_modified := hit && always_taken_modified
400eeb5ff92SLingrui98  io.is_br_full := hit && is_new_br && may_have_to_replace
40109c6f1ddSLingrui98}
40209c6f1ddSLingrui98
403c5c5edaeSJeniusclass FtqPcMemWrapper(numOtherReads: Int)(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo {
404c5c5edaeSJenius  val io = IO(new Bundle {
405c5c5edaeSJenius    val ifuPtr_w       = Input(new FtqPtr)
406c5c5edaeSJenius    val ifuPtrPlus1_w  = Input(new FtqPtr)
4076bf9b30dSLingrui98    val ifuPtrPlus2_w  = Input(new FtqPtr)
408c5c5edaeSJenius    val commPtr_w      = Input(new FtqPtr)
4096bf9b30dSLingrui98    val commPtrPlus1_w = Input(new FtqPtr)
410c5c5edaeSJenius    val ifuPtr_rdata       = Output(new Ftq_RF_Components)
411c5c5edaeSJenius    val ifuPtrPlus1_rdata  = Output(new Ftq_RF_Components)
4126bf9b30dSLingrui98    val ifuPtrPlus2_rdata  = Output(new Ftq_RF_Components)
413c5c5edaeSJenius    val commPtr_rdata      = Output(new Ftq_RF_Components)
4146bf9b30dSLingrui98    val commPtrPlus1_rdata = Output(new Ftq_RF_Components)
415c5c5edaeSJenius
416c5c5edaeSJenius    val other_raddrs = Input(Vec(numOtherReads, UInt(log2Ceil(FtqSize).W)))
417c5c5edaeSJenius    val other_rdatas = Output(Vec(numOtherReads, new Ftq_RF_Components))
418c5c5edaeSJenius
419c5c5edaeSJenius    val wen = Input(Bool())
420c5c5edaeSJenius    val waddr = Input(UInt(log2Ceil(FtqSize).W))
421c5c5edaeSJenius    val wdata = Input(new Ftq_RF_Components)
422c5c5edaeSJenius  })
423c5c5edaeSJenius
4246bf9b30dSLingrui98  val num_pc_read = numOtherReads + 5
425c5c5edaeSJenius  val mem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize,
426c5c5edaeSJenius    num_pc_read, 1, "FtqPC", concatData=false, Some(Seq.tabulate(num_pc_read)(i => false))))
427c5c5edaeSJenius  mem.io.wen(0)   := io.wen
428c5c5edaeSJenius  mem.io.waddr(0) := io.waddr
429c5c5edaeSJenius  mem.io.wdata(0) := io.wdata
430c5c5edaeSJenius
4316bf9b30dSLingrui98  // read one cycle ahead for ftq local reads
432c5c5edaeSJenius  val raddr_vec = VecInit(io.other_raddrs ++
433*88bc4f90SLingrui98    Seq(io.ifuPtr_w.value, io.ifuPtrPlus1_w.value, io.ifuPtrPlus2_w.value, io.commPtrPlus1_w.value, io.commPtr_w.value))
434c5c5edaeSJenius
435c5c5edaeSJenius  mem.io.raddr := raddr_vec
436c5c5edaeSJenius
4376bf9b30dSLingrui98  io.other_rdatas       := mem.io.rdata.dropRight(5)
4386bf9b30dSLingrui98  io.ifuPtr_rdata       := mem.io.rdata.dropRight(4).last
4396bf9b30dSLingrui98  io.ifuPtrPlus1_rdata  := mem.io.rdata.dropRight(3).last
4406bf9b30dSLingrui98  io.ifuPtrPlus2_rdata  := mem.io.rdata.dropRight(2).last
4416bf9b30dSLingrui98  io.commPtrPlus1_rdata := mem.io.rdata.dropRight(1).last
442c5c5edaeSJenius  io.commPtr_rdata      := mem.io.rdata.last
443c5c5edaeSJenius}
444c5c5edaeSJenius
44509c6f1ddSLingrui98class Ftq(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper
446e30430c2SJay  with HasBackendRedirectInfo with BPUUtils with HasBPUConst with HasPerfEvents
447e30430c2SJay  with HasICacheParameters{
44809c6f1ddSLingrui98  val io = IO(new Bundle {
44909c6f1ddSLingrui98    val fromBpu = Flipped(new BpuToFtqIO)
45009c6f1ddSLingrui98    val fromIfu = Flipped(new IfuToFtqIO)
45109c6f1ddSLingrui98    val fromBackend = Flipped(new CtrlToFtqIO)
45209c6f1ddSLingrui98
45309c6f1ddSLingrui98    val toBpu = new FtqToBpuIO
45409c6f1ddSLingrui98    val toIfu = new FtqToIfuIO
455c5c5edaeSJenius    val toICache = new FtqToICacheIO
45609c6f1ddSLingrui98    val toBackend = new FtqToCtrlIO
45709c6f1ddSLingrui98
4587052722fSJay    val toPrefetch = new FtqPrefechBundle
4597052722fSJay
46009c6f1ddSLingrui98    val bpuInfo = new Bundle {
46109c6f1ddSLingrui98      val bpRight = Output(UInt(XLEN.W))
46209c6f1ddSLingrui98      val bpWrong = Output(UInt(XLEN.W))
46309c6f1ddSLingrui98    }
46409c6f1ddSLingrui98  })
46509c6f1ddSLingrui98  io.bpuInfo := DontCare
46609c6f1ddSLingrui98
4672e1be6e1SSteve Gou  val backendRedirect = Wire(Valid(new Redirect))
4682e1be6e1SSteve Gou  val backendRedirectReg = RegNext(backendRedirect)
46909c6f1ddSLingrui98
470df5b4b8eSYinan Xu  val stage2Flush = backendRedirect.valid
47109c6f1ddSLingrui98  val backendFlush = stage2Flush || RegNext(stage2Flush)
47209c6f1ddSLingrui98  val ifuFlush = Wire(Bool())
47309c6f1ddSLingrui98
47409c6f1ddSLingrui98  val flush = stage2Flush || RegNext(stage2Flush)
47509c6f1ddSLingrui98
47609c6f1ddSLingrui98  val allowBpuIn, allowToIfu = WireInit(false.B)
47709c6f1ddSLingrui98  val flushToIfu = !allowToIfu
478df5b4b8eSYinan Xu  allowBpuIn := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
479df5b4b8eSYinan Xu  allowToIfu := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
48009c6f1ddSLingrui98
481e30430c2SJay  val bpuPtr, ifuPtr, ifuWbPtr, commPtr = RegInit(FtqPtr(false.B, 0.U))
482c9bc5480SLingrui98  val ifuPtrPlus1 = RegInit(FtqPtr(false.B, 1.U))
4836bf9b30dSLingrui98  val ifuPtrPlus2 = RegInit(FtqPtr(false.B, 2.U))
4846bf9b30dSLingrui98  val commPtrPlus1 = RegInit(FtqPtr(false.B, 1.U))
4856bf9b30dSLingrui98  require(FtqSize >= 4)
486c5c5edaeSJenius  val ifuPtr_write       = WireInit(ifuPtr)
487c5c5edaeSJenius  val ifuPtrPlus1_write  = WireInit(ifuPtrPlus1)
4886bf9b30dSLingrui98  val ifuPtrPlus2_write  = WireInit(ifuPtrPlus2)
489c5c5edaeSJenius  val ifuWbPtr_write     = WireInit(ifuWbPtr)
490c5c5edaeSJenius  val commPtr_write      = WireInit(commPtr)
4916bf9b30dSLingrui98  val commPtrPlus1_write = WireInit(commPtrPlus1)
492c5c5edaeSJenius  ifuPtr       := ifuPtr_write
493c5c5edaeSJenius  ifuPtrPlus1  := ifuPtrPlus1_write
4946bf9b30dSLingrui98  ifuPtrPlus2  := ifuPtrPlus2_write
495c5c5edaeSJenius  ifuWbPtr     := ifuWbPtr_write
496c5c5edaeSJenius  commPtr      := commPtr_write
4976bf9b30dSLingrui98  commPtrPlus1 := commPtr_write
49809c6f1ddSLingrui98  val validEntries = distanceBetween(bpuPtr, commPtr)
49909c6f1ddSLingrui98
50009c6f1ddSLingrui98  // **********************************************************************
50109c6f1ddSLingrui98  // **************************** enq from bpu ****************************
50209c6f1ddSLingrui98  // **********************************************************************
50309c6f1ddSLingrui98  val new_entry_ready = validEntries < FtqSize.U
50409c6f1ddSLingrui98  io.fromBpu.resp.ready := new_entry_ready
50509c6f1ddSLingrui98
50609c6f1ddSLingrui98  val bpu_s2_resp = io.fromBpu.resp.bits.s2
507cb4f77ceSLingrui98  val bpu_s3_resp = io.fromBpu.resp.bits.s3
50809c6f1ddSLingrui98  val bpu_s2_redirect = bpu_s2_resp.valid && bpu_s2_resp.hasRedirect
509cb4f77ceSLingrui98  val bpu_s3_redirect = bpu_s3_resp.valid && bpu_s3_resp.hasRedirect
51009c6f1ddSLingrui98
51109c6f1ddSLingrui98  io.toBpu.enq_ptr := bpuPtr
51209c6f1ddSLingrui98  val enq_fire = io.fromBpu.resp.fire() && allowBpuIn // from bpu s1
513cb4f77ceSLingrui98  val bpu_in_fire = (io.fromBpu.resp.fire() || bpu_s2_redirect || bpu_s3_redirect) && allowBpuIn
51409c6f1ddSLingrui98
515b37e4b45SLingrui98  val bpu_in_resp = io.fromBpu.resp.bits.selectedResp
516b37e4b45SLingrui98  val bpu_in_stage = io.fromBpu.resp.bits.selectedRespIdx
51709c6f1ddSLingrui98  val bpu_in_resp_ptr = Mux(bpu_in_stage === BP_S1, bpuPtr, bpu_in_resp.ftq_idx)
51809c6f1ddSLingrui98  val bpu_in_resp_idx = bpu_in_resp_ptr.value
51909c6f1ddSLingrui98
520378f00d9SJenius  // read ports:      prefetchReq ++  ifuReq1 + ifuReq2 + ifuReq3 + commitUpdate2 + commitUpdate
521378f00d9SJenius  val ftq_pc_mem = Module(new FtqPcMemWrapper(1))
5226bf9b30dSLingrui98  // resp from uBTB
523c5c5edaeSJenius  ftq_pc_mem.io.wen := bpu_in_fire
524c5c5edaeSJenius  ftq_pc_mem.io.waddr := bpu_in_resp_idx
525c5c5edaeSJenius  ftq_pc_mem.io.wdata.fromBranchPrediction(bpu_in_resp)
52609c6f1ddSLingrui98
52709c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
52809c6f1ddSLingrui98  val ftq_redirect_sram = Module(new FtqNRSRAM(new Ftq_Redirect_SRAMEntry, 1+1+1))
52909c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
53009c6f1ddSLingrui98  ftq_redirect_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
53109c6f1ddSLingrui98  ftq_redirect_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
53209c6f1ddSLingrui98  ftq_redirect_sram.io.wdata.fromBranchPrediction(io.fromBpu.resp.bits.lastStage)
53349cbc998SLingrui98  println(f"ftq redirect SRAM: entry ${ftq_redirect_sram.io.wdata.getWidth} * ${FtqSize} * 3")
53449cbc998SLingrui98  println(f"ftq redirect SRAM: ahead fh ${ftq_redirect_sram.io.wdata.afhob.getWidth} * ${FtqSize} * 3")
53509c6f1ddSLingrui98
53609c6f1ddSLingrui98  val ftq_meta_1r_sram = Module(new FtqNRSRAM(new Ftq_1R_SRAMEntry, 1))
53709c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
53809c6f1ddSLingrui98  ftq_meta_1r_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
53909c6f1ddSLingrui98  ftq_meta_1r_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
54009c6f1ddSLingrui98  ftq_meta_1r_sram.io.wdata.meta := io.fromBpu.resp.bits.meta
54109c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
54209c6f1ddSLingrui98  val ftb_entry_mem = Module(new SyncDataModuleTemplate(new FTBEntry, FtqSize, 1+1+1, 1))
54309c6f1ddSLingrui98  ftb_entry_mem.io.wen(0) := io.fromBpu.resp.bits.lastStage.valid
54409c6f1ddSLingrui98  ftb_entry_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
54509c6f1ddSLingrui98  ftb_entry_mem.io.wdata(0) := io.fromBpu.resp.bits.lastStage.ftb_entry
54609c6f1ddSLingrui98
54709c6f1ddSLingrui98
54809c6f1ddSLingrui98  // multi-write
549b0ed7239SLingrui98  val update_target = Reg(Vec(FtqSize, UInt(VAddrBits.W))) // could be taken target or fallThrough //TODO: remove this
5506bf9b30dSLingrui98  val newest_entry_target = Reg(UInt(VAddrBits.W))
5516bf9b30dSLingrui98  val newest_entry_ptr = Reg(new FtqPtr)
55209c6f1ddSLingrui98  val cfiIndex_vec = Reg(Vec(FtqSize, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))))
55309c6f1ddSLingrui98  val mispredict_vec = Reg(Vec(FtqSize, Vec(PredictWidth, Bool())))
55409c6f1ddSLingrui98  val pred_stage = Reg(Vec(FtqSize, UInt(2.W)))
55509c6f1ddSLingrui98
55609c6f1ddSLingrui98  val c_invalid :: c_valid :: c_commited :: Nil = Enum(3)
55709c6f1ddSLingrui98  val commitStateQueue = RegInit(VecInit(Seq.fill(FtqSize) {
55809c6f1ddSLingrui98    VecInit(Seq.fill(PredictWidth)(c_invalid))
55909c6f1ddSLingrui98  }))
56009c6f1ddSLingrui98
56109c6f1ddSLingrui98  val f_to_send :: f_sent :: Nil = Enum(2)
56209c6f1ddSLingrui98  val entry_fetch_status = RegInit(VecInit(Seq.fill(FtqSize)(f_sent)))
56309c6f1ddSLingrui98
56409c6f1ddSLingrui98  val h_not_hit :: h_false_hit :: h_hit :: Nil = Enum(3)
56509c6f1ddSLingrui98  val entry_hit_status = RegInit(VecInit(Seq.fill(FtqSize)(h_not_hit)))
56609c6f1ddSLingrui98
567f63797a4SLingrui98  // modify registers one cycle later to cut critical path
568f63797a4SLingrui98  val last_cycle_bpu_in = RegNext(bpu_in_fire)
5696bf9b30dSLingrui98  val last_cycle_bpu_in_ptr = RegNext(bpu_in_resp_ptr)
5706bf9b30dSLingrui98  val last_cycle_bpu_in_idx = last_cycle_bpu_in_ptr.value
5716bf9b30dSLingrui98  val last_cycle_bpu_target = RegNext(bpu_in_resp.getTarget)
572f63797a4SLingrui98  val last_cycle_cfiIndex = RegNext(bpu_in_resp.cfiIndex)
573f63797a4SLingrui98  val last_cycle_bpu_in_stage = RegNext(bpu_in_stage)
574f63797a4SLingrui98  when (last_cycle_bpu_in) {
575f63797a4SLingrui98    entry_fetch_status(last_cycle_bpu_in_idx) := f_to_send
576f63797a4SLingrui98    commitStateQueue(last_cycle_bpu_in_idx) := VecInit(Seq.fill(PredictWidth)(c_invalid))
577f63797a4SLingrui98    cfiIndex_vec(last_cycle_bpu_in_idx) := last_cycle_cfiIndex
578f63797a4SLingrui98    mispredict_vec(last_cycle_bpu_in_idx) := WireInit(VecInit(Seq.fill(PredictWidth)(false.B)))
579f63797a4SLingrui98    pred_stage(last_cycle_bpu_in_idx) := last_cycle_bpu_in_stage
5806bf9b30dSLingrui98
581b0ed7239SLingrui98    update_target(last_cycle_bpu_in_idx) := last_cycle_bpu_target // TODO: remove this
5826bf9b30dSLingrui98    newest_entry_target := last_cycle_bpu_target
5836bf9b30dSLingrui98    newest_entry_ptr := last_cycle_bpu_in_ptr
58409c6f1ddSLingrui98  }
58509c6f1ddSLingrui98
586873dc383SLingrui98  // num cycle is fixed
587873dc383SLingrui98  io.toBackend.newest_entry_ptr := RegNext(newest_entry_ptr)
588873dc383SLingrui98  io.toBackend.newest_entry_target := RegNext(newest_entry_target)
589873dc383SLingrui98
590f63797a4SLingrui98
59109c6f1ddSLingrui98  bpuPtr := bpuPtr + enq_fire
592c9bc5480SLingrui98  when (io.toIfu.req.fire && allowToIfu) {
593c5c5edaeSJenius    ifuPtr_write := ifuPtrPlus1
5946bf9b30dSLingrui98    ifuPtrPlus1_write := ifuPtrPlus2
5956bf9b30dSLingrui98    ifuPtrPlus2_write := ifuPtrPlus2 + 1.U
596c9bc5480SLingrui98  }
59709c6f1ddSLingrui98
59809c6f1ddSLingrui98  // only use ftb result to assign hit status
59909c6f1ddSLingrui98  when (bpu_s2_resp.valid) {
600b37e4b45SLingrui98    entry_hit_status(bpu_s2_resp.ftq_idx.value) := Mux(bpu_s2_resp.full_pred.hit, h_hit, h_not_hit)
60109c6f1ddSLingrui98  }
60209c6f1ddSLingrui98
60309c6f1ddSLingrui98
6042f4a3aa4SLingrui98  io.toIfu.flushFromBpu.s2.valid := bpu_s2_redirect
60509c6f1ddSLingrui98  io.toIfu.flushFromBpu.s2.bits := bpu_s2_resp.ftq_idx
60609c6f1ddSLingrui98  when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect) {
60709c6f1ddSLingrui98    bpuPtr := bpu_s2_resp.ftq_idx + 1.U
60809c6f1ddSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
60909c6f1ddSLingrui98    when (!isBefore(ifuPtr, bpu_s2_resp.ftq_idx)) {
610c5c5edaeSJenius      ifuPtr_write := bpu_s2_resp.ftq_idx
611c5c5edaeSJenius      ifuPtrPlus1_write := bpu_s2_resp.ftq_idx + 1.U
6126bf9b30dSLingrui98      ifuPtrPlus2_write := bpu_s2_resp.ftq_idx + 2.U
61309c6f1ddSLingrui98    }
61409c6f1ddSLingrui98  }
61509c6f1ddSLingrui98
616cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.valid := bpu_s3_redirect
617cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.bits := bpu_s3_resp.ftq_idx
618cb4f77ceSLingrui98  when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect) {
619cb4f77ceSLingrui98    bpuPtr := bpu_s3_resp.ftq_idx + 1.U
620cb4f77ceSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
621cb4f77ceSLingrui98    when (!isBefore(ifuPtr, bpu_s3_resp.ftq_idx)) {
622c5c5edaeSJenius      ifuPtr_write := bpu_s3_resp.ftq_idx
623c5c5edaeSJenius      ifuPtrPlus1_write := bpu_s3_resp.ftq_idx + 1.U
6246bf9b30dSLingrui98      ifuPtrPlus2_write := bpu_s3_resp.ftq_idx + 2.U
625cb4f77ceSLingrui98    }
626cb4f77ceSLingrui98  }
627cb4f77ceSLingrui98
62809c6f1ddSLingrui98  XSError(isBefore(bpuPtr, ifuPtr) && !isFull(bpuPtr, ifuPtr), "\nifuPtr is before bpuPtr!\n")
62909c6f1ddSLingrui98
63009c6f1ddSLingrui98  // ****************************************************************
63109c6f1ddSLingrui98  // **************************** to ifu ****************************
63209c6f1ddSLingrui98  // ****************************************************************
633f22cf846SJenius  // 0  for ifu, and 1-4 for ICache
634f22cf846SJenius  val bpu_in_bypass_buf = VecInit(Seq.fill(5)(RegEnable(ftq_pc_mem.io.wdata, enable=bpu_in_fire)))
635*88bc4f90SLingrui98  val bpu_in_bypass_buf_for_ifu = bpu_in_bypass_buf.head
63609c6f1ddSLingrui98  val bpu_in_bypass_ptr = RegNext(bpu_in_resp_ptr)
63709c6f1ddSLingrui98  val last_cycle_to_ifu_fire = RegNext(io.toIfu.req.fire)
63809c6f1ddSLingrui98
639*88bc4f90SLingrui98
64009c6f1ddSLingrui98  // read pc and target
6416bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtr_w       := ifuPtr_write
6426bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtrPlus1_w  := ifuPtrPlus1_write
6436bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtrPlus2_w  := ifuPtrPlus2_write
6446bf9b30dSLingrui98  ftq_pc_mem.io.commPtr_w      := commPtr_write
6456bf9b30dSLingrui98  ftq_pc_mem.io.commPtrPlus1_w := commPtrPlus1_write
646c5c5edaeSJenius
64709c6f1ddSLingrui98
6485ff19bd8SLingrui98  io.toIfu.req.bits.ftqIdx := ifuPtr
649f63797a4SLingrui98
650f22cf846SJenius  val toICachePcBundle = WireInit(ftq_pc_mem.io.ifuPtr_rdata)
651b37e4b45SLingrui98  val toIfuPcBundle = Wire(new Ftq_RF_Components)
652f63797a4SLingrui98  val entry_is_to_send = WireInit(entry_fetch_status(ifuPtr.value) === f_to_send)
653f63797a4SLingrui98  val entry_ftq_offset = WireInit(cfiIndex_vec(ifuPtr.value))
6546bf9b30dSLingrui98  val entry_next_addr  = Wire(UInt(VAddrBits.W))
655b0ed7239SLingrui98  val diff_entry_next_addr = WireInit(update_target(ifuPtr.value)) //TODO: remove this
656f63797a4SLingrui98
657873dc383SLingrui98  // TODO: reconsider target address bypass logic
65809c6f1ddSLingrui98  when (last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) {
659*88bc4f90SLingrui98    toIfuPcBundle := bpu_in_bypass_buf_for_ifu
660f678dd91SSteve Gou    entry_is_to_send := true.B
6616bf9b30dSLingrui98    entry_next_addr := last_cycle_bpu_target
662f63797a4SLingrui98    entry_ftq_offset := last_cycle_cfiIndex
663b0ed7239SLingrui98    diff_entry_next_addr := last_cycle_bpu_target // TODO: remove this
66409c6f1ddSLingrui98  }.elsewhen (last_cycle_to_ifu_fire) {
665c5c5edaeSJenius    toIfuPcBundle := RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata)
666c5c5edaeSJenius    toICachePcBundle := ftq_pc_mem.io.ifuPtrPlus1_rdata
667c5c5edaeSJenius    entry_is_to_send := RegNext(entry_fetch_status(ifuPtrPlus1.value) === f_to_send) ||
668c5c5edaeSJenius                        RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1)) // reduce potential bubbles
669ed434d67SLingrui98    entry_next_addr := Mux(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1),
670*88bc4f90SLingrui98                          bpu_in_bypass_buf_for_ifu.startAddr,
671ed434d67SLingrui98                          Mux(isFull(ifuPtrPlus1, commPtr),
6726bf9b30dSLingrui98                            newest_entry_target,
6736bf9b30dSLingrui98                            ftq_pc_mem.io.ifuPtrPlus2_rdata.startAddr)) // ifuPtr+2
674c5c5edaeSJenius  }.otherwise {
675c5c5edaeSJenius    toIfuPcBundle := RegNext(ftq_pc_mem.io.ifuPtr_rdata)
676f22cf846SJenius    //toICachePcBundle := ftq_pc_mem.io.ifuPtr_rdata
677f678dd91SSteve Gou    entry_is_to_send := RegNext(entry_fetch_status(ifuPtr.value) === f_to_send)
6786bf9b30dSLingrui98    entry_next_addr := Mux(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1),
679*88bc4f90SLingrui98                          bpu_in_bypass_buf_for_ifu.startAddr,
680ed434d67SLingrui98                          Mux(isFull(ifuPtrPlus1, commPtr),
6816bf9b30dSLingrui98                            newest_entry_target,
6826bf9b30dSLingrui98                            ftq_pc_mem.io.ifuPtrPlus1_rdata.startAddr)) // ifuPtr+1
68309c6f1ddSLingrui98  }
68409c6f1ddSLingrui98
685f678dd91SSteve Gou  io.toIfu.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
686f63797a4SLingrui98  io.toIfu.req.bits.nextStartAddr := entry_next_addr
687f63797a4SLingrui98  io.toIfu.req.bits.ftqOffset := entry_ftq_offset
688b37e4b45SLingrui98  io.toIfu.req.bits.fromFtqPcBundle(toIfuPcBundle)
689c5c5edaeSJenius
690c5c5edaeSJenius  io.toICache.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
691c5c5edaeSJenius  io.toICache.req.bits.fromFtqPcBundle(toICachePcBundle)
692f22cf846SJenius  io.toICache.req.bits.bypassSelect := last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr
693f22cf846SJenius  io.toICache.req.bits.bpuBypassWrite.zipWithIndex.map{case(bypassWrtie, i) =>
694f22cf846SJenius    bypassWrtie.startAddr := bpu_in_bypass_buf.tail(i).startAddr
695f22cf846SJenius    bypassWrtie.nextlineStart := bpu_in_bypass_buf.tail(i).nextLineAddr
696f22cf846SJenius  }
697f22cf846SJenius
698b0ed7239SLingrui98  // TODO: remove this
699b0ed7239SLingrui98  XSError(io.toIfu.req.valid && diff_entry_next_addr =/= entry_next_addr,
7005a674179SLingrui98          p"\nifu_req_target wrong! ifuPtr: ${ifuPtr}, entry_next_addr: ${Hexadecimal(entry_next_addr)} diff_entry_next_addr: ${Hexadecimal(diff_entry_next_addr)}\n")
701b0ed7239SLingrui98
70209c6f1ddSLingrui98  // when fall through is smaller in value than start address, there must be a false hit
703b37e4b45SLingrui98  when (toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit) {
70409c6f1ddSLingrui98    when (io.toIfu.req.fire &&
705cb4f77ceSLingrui98      !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) &&
706cb4f77ceSLingrui98      !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr)
70709c6f1ddSLingrui98    ) {
70809c6f1ddSLingrui98      entry_hit_status(ifuPtr.value) := h_false_hit
709352db50aSLingrui98      // XSError(true.B, "FTB false hit by fallThroughError, startAddr: %x, fallTHru: %x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
71009c6f1ddSLingrui98    }
711b37e4b45SLingrui98    XSDebug(true.B, "fallThruError! start:%x, fallThru:%x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
71209c6f1ddSLingrui98  }
71309c6f1ddSLingrui98
714a60a2901SLingrui98  XSPerfAccumulate(f"fall_through_error_to_ifu", toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit &&
715a60a2901SLingrui98    io.toIfu.req.fire && !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) && !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr))
716a60a2901SLingrui98
71709c6f1ddSLingrui98  val ifu_req_should_be_flushed =
718cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage2(io.toIfu.req.bits.ftqIdx) ||
719cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage3(io.toIfu.req.bits.ftqIdx)
72009c6f1ddSLingrui98
72109c6f1ddSLingrui98    when (io.toIfu.req.fire && !ifu_req_should_be_flushed) {
72209c6f1ddSLingrui98      entry_fetch_status(ifuPtr.value) := f_sent
72309c6f1ddSLingrui98    }
72409c6f1ddSLingrui98
72509c6f1ddSLingrui98  // *********************************************************************
72609c6f1ddSLingrui98  // **************************** wb from ifu ****************************
72709c6f1ddSLingrui98  // *********************************************************************
72809c6f1ddSLingrui98  val pdWb = io.fromIfu.pdWb
72909c6f1ddSLingrui98  val pds = pdWb.bits.pd
73009c6f1ddSLingrui98  val ifu_wb_valid = pdWb.valid
73109c6f1ddSLingrui98  val ifu_wb_idx = pdWb.bits.ftqIdx.value
73209c6f1ddSLingrui98  // read ports:                                                         commit update
73309c6f1ddSLingrui98  val ftq_pd_mem = Module(new SyncDataModuleTemplate(new Ftq_pd_Entry, FtqSize, 1, 1))
73409c6f1ddSLingrui98  ftq_pd_mem.io.wen(0) := ifu_wb_valid
73509c6f1ddSLingrui98  ftq_pd_mem.io.waddr(0) := pdWb.bits.ftqIdx.value
73609c6f1ddSLingrui98  ftq_pd_mem.io.wdata(0).fromPdWb(pdWb.bits)
73709c6f1ddSLingrui98
73809c6f1ddSLingrui98  val hit_pd_valid = entry_hit_status(ifu_wb_idx) === h_hit && ifu_wb_valid
73909c6f1ddSLingrui98  val hit_pd_mispred = hit_pd_valid && pdWb.bits.misOffset.valid
74009c6f1ddSLingrui98  val hit_pd_mispred_reg = RegNext(hit_pd_mispred, init=false.B)
741005e809bSJiuyang Liu  val pd_reg       = RegEnable(pds,             pdWb.valid)
742005e809bSJiuyang Liu  val start_pc_reg = RegEnable(pdWb.bits.pc(0), pdWb.valid)
743005e809bSJiuyang Liu  val wb_idx_reg   = RegEnable(ifu_wb_idx,      pdWb.valid)
74409c6f1ddSLingrui98
74509c6f1ddSLingrui98  when (ifu_wb_valid) {
74609c6f1ddSLingrui98    val comm_stq_wen = VecInit(pds.map(_.valid).zip(pdWb.bits.instrRange).map{
74709c6f1ddSLingrui98      case (v, inRange) => v && inRange
74809c6f1ddSLingrui98    })
74909c6f1ddSLingrui98    (commitStateQueue(ifu_wb_idx) zip comm_stq_wen).map{
75009c6f1ddSLingrui98      case (qe, v) => when (v) { qe := c_valid }
75109c6f1ddSLingrui98    }
75209c6f1ddSLingrui98  }
75309c6f1ddSLingrui98
754c5c5edaeSJenius  when (ifu_wb_valid) {
755c5c5edaeSJenius    ifuWbPtr_write := ifuWbPtr + 1.U
756c5c5edaeSJenius  }
75709c6f1ddSLingrui98
75809c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := ifu_wb_idx
75909c6f1ddSLingrui98  val has_false_hit = WireInit(false.B)
76009c6f1ddSLingrui98  when (RegNext(hit_pd_valid)) {
76109c6f1ddSLingrui98    // check for false hit
76209c6f1ddSLingrui98    val pred_ftb_entry = ftb_entry_mem.io.rdata.head
763eeb5ff92SLingrui98    val brSlots = pred_ftb_entry.brSlots
764eeb5ff92SLingrui98    val tailSlot = pred_ftb_entry.tailSlot
76509c6f1ddSLingrui98    // we check cfis that bpu predicted
76609c6f1ddSLingrui98
767eeb5ff92SLingrui98    // bpu predicted branches but denied by predecode
768eeb5ff92SLingrui98    val br_false_hit =
769eeb5ff92SLingrui98      brSlots.map{
770eeb5ff92SLingrui98        s => s.valid && !(pd_reg(s.offset).valid && pd_reg(s.offset).isBr)
771eeb5ff92SLingrui98      }.reduce(_||_) ||
772b37e4b45SLingrui98      (tailSlot.valid && pred_ftb_entry.tailSlot.sharing &&
773eeb5ff92SLingrui98        !(pd_reg(tailSlot.offset).valid && pd_reg(tailSlot.offset).isBr))
774eeb5ff92SLingrui98
775eeb5ff92SLingrui98    val jmpOffset = tailSlot.offset
77609c6f1ddSLingrui98    val jmp_pd = pd_reg(jmpOffset)
77709c6f1ddSLingrui98    val jal_false_hit = pred_ftb_entry.jmpValid &&
77809c6f1ddSLingrui98      ((pred_ftb_entry.isJal  && !(jmp_pd.valid && jmp_pd.isJal)) ||
77909c6f1ddSLingrui98       (pred_ftb_entry.isJalr && !(jmp_pd.valid && jmp_pd.isJalr)) ||
78009c6f1ddSLingrui98       (pred_ftb_entry.isCall && !(jmp_pd.valid && jmp_pd.isCall)) ||
78109c6f1ddSLingrui98       (pred_ftb_entry.isRet  && !(jmp_pd.valid && jmp_pd.isRet))
78209c6f1ddSLingrui98      )
78309c6f1ddSLingrui98
78409c6f1ddSLingrui98    has_false_hit := br_false_hit || jal_false_hit || hit_pd_mispred_reg
78565fddcf0Szoujr    XSDebug(has_false_hit, "FTB false hit by br or jal or hit_pd, startAddr: %x\n", pdWb.bits.pc(0))
78665fddcf0Szoujr
787352db50aSLingrui98    // assert(!has_false_hit)
78809c6f1ddSLingrui98  }
78909c6f1ddSLingrui98
79009c6f1ddSLingrui98  when (has_false_hit) {
79109c6f1ddSLingrui98    entry_hit_status(wb_idx_reg) := h_false_hit
79209c6f1ddSLingrui98  }
79309c6f1ddSLingrui98
79409c6f1ddSLingrui98
79509c6f1ddSLingrui98  // **********************************************************************
796b56f947eSYinan Xu  // ***************************** to backend *****************************
79709c6f1ddSLingrui98  // **********************************************************************
798b56f947eSYinan Xu  // to backend pc mem / target
799b56f947eSYinan Xu  io.toBackend.pc_mem_wen   := RegNext(last_cycle_bpu_in)
800b56f947eSYinan Xu  io.toBackend.pc_mem_waddr := RegNext(last_cycle_bpu_in_idx)
801*88bc4f90SLingrui98  io.toBackend.pc_mem_wdata := RegNext(bpu_in_bypass_buf_for_ifu)
80209c6f1ddSLingrui98
80309c6f1ddSLingrui98  // *******************************************************************************
80409c6f1ddSLingrui98  // **************************** redirect from backend ****************************
80509c6f1ddSLingrui98  // *******************************************************************************
80609c6f1ddSLingrui98
80709c6f1ddSLingrui98  // redirect read cfiInfo, couples to redirectGen s2
8082e1be6e1SSteve Gou  ftq_redirect_sram.io.ren.init.last := backendRedirect.valid
8092e1be6e1SSteve Gou  ftq_redirect_sram.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
81009c6f1ddSLingrui98
8112e1be6e1SSteve Gou  ftb_entry_mem.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
81209c6f1ddSLingrui98
81309c6f1ddSLingrui98  val stage3CfiInfo = ftq_redirect_sram.io.rdata.init.last
814df5b4b8eSYinan Xu  val fromBackendRedirect = WireInit(backendRedirectReg)
81509c6f1ddSLingrui98  val backendRedirectCfi = fromBackendRedirect.bits.cfiUpdate
81609c6f1ddSLingrui98  backendRedirectCfi.fromFtqRedirectSram(stage3CfiInfo)
81709c6f1ddSLingrui98
81809c6f1ddSLingrui98  val r_ftb_entry = ftb_entry_mem.io.rdata.init.last
81909c6f1ddSLingrui98  val r_ftqOffset = fromBackendRedirect.bits.ftqOffset
82009c6f1ddSLingrui98
82109c6f1ddSLingrui98  when (entry_hit_status(fromBackendRedirect.bits.ftqIdx.value) === h_hit) {
82209c6f1ddSLingrui98    backendRedirectCfi.shift := PopCount(r_ftb_entry.getBrMaskByOffset(r_ftqOffset)) +&
82309c6f1ddSLingrui98      (backendRedirectCfi.pd.isBr && !r_ftb_entry.brIsSaved(r_ftqOffset) &&
824eeb5ff92SLingrui98      !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
82509c6f1ddSLingrui98
82609c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr && (r_ftb_entry.brIsSaved(r_ftqOffset) ||
827eeb5ff92SLingrui98        !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
82809c6f1ddSLingrui98  }.otherwise {
82909c6f1ddSLingrui98    backendRedirectCfi.shift := (backendRedirectCfi.pd.isBr && backendRedirectCfi.taken).asUInt
83009c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr.asUInt
83109c6f1ddSLingrui98  }
83209c6f1ddSLingrui98
83309c6f1ddSLingrui98
83409c6f1ddSLingrui98  // ***************************************************************************
83509c6f1ddSLingrui98  // **************************** redirect from ifu ****************************
83609c6f1ddSLingrui98  // ***************************************************************************
83709c6f1ddSLingrui98  val fromIfuRedirect = WireInit(0.U.asTypeOf(Valid(new Redirect)))
83809c6f1ddSLingrui98  fromIfuRedirect.valid := pdWb.valid && pdWb.bits.misOffset.valid && !backendFlush
83909c6f1ddSLingrui98  fromIfuRedirect.bits.ftqIdx := pdWb.bits.ftqIdx
84009c6f1ddSLingrui98  fromIfuRedirect.bits.ftqOffset := pdWb.bits.misOffset.bits
84109c6f1ddSLingrui98  fromIfuRedirect.bits.level := RedirectLevel.flushAfter
84209c6f1ddSLingrui98
84309c6f1ddSLingrui98  val ifuRedirectCfiUpdate = fromIfuRedirect.bits.cfiUpdate
84409c6f1ddSLingrui98  ifuRedirectCfiUpdate.pc := pdWb.bits.pc(pdWb.bits.misOffset.bits)
84509c6f1ddSLingrui98  ifuRedirectCfiUpdate.pd := pdWb.bits.pd(pdWb.bits.misOffset.bits)
84609c6f1ddSLingrui98  ifuRedirectCfiUpdate.predTaken := cfiIndex_vec(pdWb.bits.ftqIdx.value).valid
84709c6f1ddSLingrui98  ifuRedirectCfiUpdate.target := pdWb.bits.target
84809c6f1ddSLingrui98  ifuRedirectCfiUpdate.taken := pdWb.bits.cfiOffset.valid
84909c6f1ddSLingrui98  ifuRedirectCfiUpdate.isMisPred := pdWb.bits.misOffset.valid
85009c6f1ddSLingrui98
85109c6f1ddSLingrui98  val ifuRedirectReg = RegNext(fromIfuRedirect, init=0.U.asTypeOf(Valid(new Redirect)))
85209c6f1ddSLingrui98  val ifuRedirectToBpu = WireInit(ifuRedirectReg)
85309c6f1ddSLingrui98  ifuFlush := fromIfuRedirect.valid || ifuRedirectToBpu.valid
85409c6f1ddSLingrui98
85509c6f1ddSLingrui98  ftq_redirect_sram.io.ren.head := fromIfuRedirect.valid
85609c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
85709c6f1ddSLingrui98
85809c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
85909c6f1ddSLingrui98
86009c6f1ddSLingrui98  val toBpuCfi = ifuRedirectToBpu.bits.cfiUpdate
86109c6f1ddSLingrui98  toBpuCfi.fromFtqRedirectSram(ftq_redirect_sram.io.rdata.head)
86209c6f1ddSLingrui98  when (ifuRedirectReg.bits.cfiUpdate.pd.isRet) {
86309c6f1ddSLingrui98    toBpuCfi.target := toBpuCfi.rasEntry.retAddr
86409c6f1ddSLingrui98  }
86509c6f1ddSLingrui98
86609c6f1ddSLingrui98  // *********************************************************************
86709c6f1ddSLingrui98  // **************************** wb from exu ****************************
86809c6f1ddSLingrui98  // *********************************************************************
86909c6f1ddSLingrui98
870b56f947eSYinan Xu  backendRedirect := io.fromBackend.redirect
8712e1be6e1SSteve Gou
87209c6f1ddSLingrui98  def extractRedirectInfo(wb: Valid[Redirect]) = {
8736bf9b30dSLingrui98    val ftqPtr = wb.bits.ftqIdx
87409c6f1ddSLingrui98    val ftqOffset = wb.bits.ftqOffset
87509c6f1ddSLingrui98    val taken = wb.bits.cfiUpdate.taken
87609c6f1ddSLingrui98    val mispred = wb.bits.cfiUpdate.isMisPred
8776bf9b30dSLingrui98    (wb.valid, ftqPtr, ftqOffset, taken, mispred)
87809c6f1ddSLingrui98  }
87909c6f1ddSLingrui98
88009c6f1ddSLingrui98  // fix mispredict entry
88109c6f1ddSLingrui98  val lastIsMispredict = RegNext(
882df5b4b8eSYinan Xu    backendRedirect.valid && backendRedirect.bits.level === RedirectLevel.flushAfter, init = false.B
88309c6f1ddSLingrui98  )
88409c6f1ddSLingrui98
88509c6f1ddSLingrui98  def updateCfiInfo(redirect: Valid[Redirect], isBackend: Boolean = true) = {
8866bf9b30dSLingrui98    val (r_valid, r_ptr, r_offset, r_taken, r_mispred) = extractRedirectInfo(redirect)
8876bf9b30dSLingrui98    val r_idx = r_ptr.value
88809c6f1ddSLingrui98    val cfiIndex_bits_wen = r_valid && r_taken && r_offset < cfiIndex_vec(r_idx).bits
88909c6f1ddSLingrui98    val cfiIndex_valid_wen = r_valid && r_offset === cfiIndex_vec(r_idx).bits
89009c6f1ddSLingrui98    when (cfiIndex_bits_wen || cfiIndex_valid_wen) {
89109c6f1ddSLingrui98      cfiIndex_vec(r_idx).valid := cfiIndex_bits_wen || cfiIndex_valid_wen && r_taken
89209c6f1ddSLingrui98    }
89309c6f1ddSLingrui98    when (cfiIndex_bits_wen) {
89409c6f1ddSLingrui98      cfiIndex_vec(r_idx).bits := r_offset
89509c6f1ddSLingrui98    }
8966bf9b30dSLingrui98    newest_entry_target := redirect.bits.cfiUpdate.target
897873dc383SLingrui98    newest_entry_ptr := r_ptr
898b0ed7239SLingrui98    update_target(r_idx) := redirect.bits.cfiUpdate.target // TODO: remove this
89909c6f1ddSLingrui98    if (isBackend) {
90009c6f1ddSLingrui98      mispredict_vec(r_idx)(r_offset) := r_mispred
90109c6f1ddSLingrui98    }
90209c6f1ddSLingrui98  }
90309c6f1ddSLingrui98
904df5b4b8eSYinan Xu  when(backendRedirectReg.valid && lastIsMispredict) {
905df5b4b8eSYinan Xu    updateCfiInfo(backendRedirectReg)
90609c6f1ddSLingrui98  }.elsewhen (ifuRedirectToBpu.valid) {
90709c6f1ddSLingrui98    updateCfiInfo(ifuRedirectToBpu, isBackend=false)
90809c6f1ddSLingrui98  }
90909c6f1ddSLingrui98
91009c6f1ddSLingrui98  // ***********************************************************************************
91109c6f1ddSLingrui98  // **************************** flush ptr and state queue ****************************
91209c6f1ddSLingrui98  // ***********************************************************************************
91309c6f1ddSLingrui98
914df5b4b8eSYinan Xu  val redirectVec = VecInit(backendRedirect, fromIfuRedirect)
91509c6f1ddSLingrui98
91609c6f1ddSLingrui98  // when redirect, we should reset ptrs and status queues
91709c6f1ddSLingrui98  when(redirectVec.map(r => r.valid).reduce(_||_)){
9182f4a3aa4SLingrui98    val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
91909c6f1ddSLingrui98    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
9202f4a3aa4SLingrui98    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
92109c6f1ddSLingrui98    val next = idx + 1.U
92209c6f1ddSLingrui98    bpuPtr := next
923c5c5edaeSJenius    ifuPtr_write := next
924c5c5edaeSJenius    ifuWbPtr_write := next
925c5c5edaeSJenius    ifuPtrPlus1_write := idx + 2.U
9266bf9b30dSLingrui98    ifuPtrPlus2_write := idx + 3.U
92709c6f1ddSLingrui98    when (notIfu) {
92809c6f1ddSLingrui98      commitStateQueue(idx.value).zipWithIndex.foreach({ case (s, i) =>
92909c6f1ddSLingrui98        when(i.U > offset || i.U === offset && flushItSelf){
93009c6f1ddSLingrui98          s := c_invalid
93109c6f1ddSLingrui98        }
93209c6f1ddSLingrui98      })
93309c6f1ddSLingrui98    }
93409c6f1ddSLingrui98  }
93509c6f1ddSLingrui98
93609c6f1ddSLingrui98  // only the valid bit is actually needed
937df5b4b8eSYinan Xu  io.toIfu.redirect.bits    := backendRedirect.bits
93809c6f1ddSLingrui98  io.toIfu.redirect.valid   := stage2Flush
93909c6f1ddSLingrui98
94009c6f1ddSLingrui98  // commit
9419aca92b9SYinan Xu  for (c <- io.fromBackend.rob_commits) {
94209c6f1ddSLingrui98    when(c.valid) {
94309c6f1ddSLingrui98      commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset) := c_commited
94488825c5cSYinan Xu      // TODO: remove this
94588825c5cSYinan Xu      // For instruction fusions, we also update the next instruction
946c3abb8b6SYinan Xu      when (c.bits.commitType === 4.U) {
94788825c5cSYinan Xu        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 1.U) := c_commited
948c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 5.U) {
94988825c5cSYinan Xu        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 2.U) := c_commited
950c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 6.U) {
95188825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
95288825c5cSYinan Xu        commitStateQueue(index)(0) := c_commited
953c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 7.U) {
95488825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
95588825c5cSYinan Xu        commitStateQueue(index)(1) := c_commited
95688825c5cSYinan Xu      }
95709c6f1ddSLingrui98    }
95809c6f1ddSLingrui98  }
95909c6f1ddSLingrui98
96009c6f1ddSLingrui98  // ****************************************************************
96109c6f1ddSLingrui98  // **************************** to bpu ****************************
96209c6f1ddSLingrui98  // ****************************************************************
96309c6f1ddSLingrui98
96409c6f1ddSLingrui98  io.toBpu.redirect <> Mux(fromBackendRedirect.valid, fromBackendRedirect, ifuRedirectToBpu)
96509c6f1ddSLingrui98
96602f21c16SLingrui98  val may_have_stall_from_bpu = Wire(Bool())
96702f21c16SLingrui98  val bpu_ftb_update_stall = RegInit(0.U(2.W)) // 2-cycle stall, so we need 3 states
96802f21c16SLingrui98  may_have_stall_from_bpu := bpu_ftb_update_stall =/= 0.U
9695371700eSzoujr  val canCommit = commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
97009c6f1ddSLingrui98    Cat(commitStateQueue(commPtr.value).map(s => {
97109c6f1ddSLingrui98      s === c_invalid || s === c_commited
97209c6f1ddSLingrui98    })).andR()
97309c6f1ddSLingrui98
97409c6f1ddSLingrui98  // commit reads
975c5c5edaeSJenius  val commit_pc_bundle = RegNext(ftq_pc_mem.io.commPtr_rdata)
976378f00d9SJenius  val commit_target = RegNext(ftq_pc_mem.io.commPtrPlus1_rdata.startAddr)
97709c6f1ddSLingrui98  ftq_pd_mem.io.raddr.last := commPtr.value
97809c6f1ddSLingrui98  val commit_pd = ftq_pd_mem.io.rdata.last
97909c6f1ddSLingrui98  ftq_redirect_sram.io.ren.last := canCommit
98009c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.last := commPtr.value
98109c6f1ddSLingrui98  val commit_spec_meta = ftq_redirect_sram.io.rdata.last
98209c6f1ddSLingrui98  ftq_meta_1r_sram.io.ren(0) := canCommit
98309c6f1ddSLingrui98  ftq_meta_1r_sram.io.raddr(0) := commPtr.value
98409c6f1ddSLingrui98  val commit_meta = ftq_meta_1r_sram.io.rdata(0)
98509c6f1ddSLingrui98  ftb_entry_mem.io.raddr.last := commPtr.value
98609c6f1ddSLingrui98  val commit_ftb_entry = ftb_entry_mem.io.rdata.last
98709c6f1ddSLingrui98
98809c6f1ddSLingrui98  // need one cycle to read mem and srams
98909c6f1ddSLingrui98  val do_commit_ptr = RegNext(commPtr)
9905371700eSzoujr  val do_commit = RegNext(canCommit, init=false.B)
9916bf9b30dSLingrui98  when (canCommit) {
9926bf9b30dSLingrui98    commPtr_write := commPtrPlus1
9936bf9b30dSLingrui98    commPtrPlus1_write := commPtrPlus1 + 1.U
9946bf9b30dSLingrui98  }
99509c6f1ddSLingrui98  val commit_state = RegNext(commitStateQueue(commPtr.value))
9965371700eSzoujr  val can_commit_cfi = WireInit(cfiIndex_vec(commPtr.value))
9975371700eSzoujr  when (commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited) {
9985371700eSzoujr    can_commit_cfi.valid := false.B
99909c6f1ddSLingrui98  }
10005371700eSzoujr  val commit_cfi = RegNext(can_commit_cfi)
100109c6f1ddSLingrui98
100209c6f1ddSLingrui98  val commit_mispredict = VecInit((RegNext(mispredict_vec(commPtr.value)) zip commit_state).map {
100309c6f1ddSLingrui98    case (mis, state) => mis && state === c_commited
100409c6f1ddSLingrui98  })
10055371700eSzoujr  val can_commit_hit = entry_hit_status(commPtr.value)
10065371700eSzoujr  val commit_hit = RegNext(can_commit_hit)
10075fa3df0dSLingrui98  val diff_commit_target = RegNext(update_target(commPtr.value)) // TODO: remove this
1008edc18578SLingrui98  val commit_stage = RegNext(pred_stage(commPtr.value))
100909c6f1ddSLingrui98  val commit_valid = commit_hit === h_hit || commit_cfi.valid // hit or taken
101009c6f1ddSLingrui98
10115371700eSzoujr  val to_bpu_hit = can_commit_hit === h_hit || can_commit_hit === h_false_hit
101202f21c16SLingrui98  switch (bpu_ftb_update_stall) {
101302f21c16SLingrui98    is (0.U) {
101402f21c16SLingrui98      when (can_commit_cfi.valid && !to_bpu_hit && canCommit) {
101502f21c16SLingrui98        bpu_ftb_update_stall := 2.U // 2-cycle stall
101602f21c16SLingrui98      }
101702f21c16SLingrui98    }
101802f21c16SLingrui98    is (2.U) {
101902f21c16SLingrui98      bpu_ftb_update_stall := 1.U
102002f21c16SLingrui98    }
102102f21c16SLingrui98    is (1.U) {
102202f21c16SLingrui98      bpu_ftb_update_stall := 0.U
102302f21c16SLingrui98    }
102402f21c16SLingrui98    is (3.U) {
102502f21c16SLingrui98      XSError(true.B, "bpu_ftb_update_stall should be 0, 1 or 2")
102602f21c16SLingrui98    }
102702f21c16SLingrui98  }
102809c6f1ddSLingrui98
1029b0ed7239SLingrui98  // TODO: remove this
1030b0ed7239SLingrui98  XSError(do_commit && diff_commit_target =/= commit_target, "\ncommit target should be the same as update target\n")
1031b0ed7239SLingrui98
103209c6f1ddSLingrui98  io.toBpu.update := DontCare
103309c6f1ddSLingrui98  io.toBpu.update.valid := commit_valid && do_commit
103409c6f1ddSLingrui98  val update = io.toBpu.update.bits
103509c6f1ddSLingrui98  update.false_hit   := commit_hit === h_false_hit
103609c6f1ddSLingrui98  update.pc          := commit_pc_bundle.startAddr
103709c6f1ddSLingrui98  update.meta        := commit_meta.meta
10388ffcd86aSLingrui98  update.full_target := commit_target
1039edc18578SLingrui98  update.from_stage  := commit_stage
104009c6f1ddSLingrui98  update.fromFtqRedirectSram(commit_spec_meta)
104109c6f1ddSLingrui98
104209c6f1ddSLingrui98  val commit_real_hit = commit_hit === h_hit
104309c6f1ddSLingrui98  val update_ftb_entry = update.ftb_entry
104409c6f1ddSLingrui98
104509c6f1ddSLingrui98  val ftbEntryGen = Module(new FTBEntryGen).io
104609c6f1ddSLingrui98  ftbEntryGen.start_addr     := commit_pc_bundle.startAddr
104709c6f1ddSLingrui98  ftbEntryGen.old_entry      := commit_ftb_entry
104809c6f1ddSLingrui98  ftbEntryGen.pd             := commit_pd
104909c6f1ddSLingrui98  ftbEntryGen.cfiIndex       := commit_cfi
105009c6f1ddSLingrui98  ftbEntryGen.target         := commit_target
105109c6f1ddSLingrui98  ftbEntryGen.hit            := commit_real_hit
105209c6f1ddSLingrui98  ftbEntryGen.mispredict_vec := commit_mispredict
105309c6f1ddSLingrui98
105409c6f1ddSLingrui98  update_ftb_entry         := ftbEntryGen.new_entry
105509c6f1ddSLingrui98  update.new_br_insert_pos := ftbEntryGen.new_br_insert_pos
105609c6f1ddSLingrui98  update.mispred_mask      := ftbEntryGen.mispred_mask
105709c6f1ddSLingrui98  update.old_entry         := ftbEntryGen.is_old_entry
1058edc18578SLingrui98  update.pred_hit          := commit_hit === h_hit || commit_hit === h_false_hit
1059b37e4b45SLingrui98
1060b37e4b45SLingrui98  update.is_minimal := false.B
1061b37e4b45SLingrui98  update.full_pred.fromFtbEntry(ftbEntryGen.new_entry, update.pc)
1062b37e4b45SLingrui98  update.full_pred.br_taken_mask  := ftbEntryGen.taken_mask
1063b37e4b45SLingrui98  update.full_pred.jalr_target := commit_target
1064b37e4b45SLingrui98  update.full_pred.hit := true.B
1065b37e4b45SLingrui98  when (update.full_pred.is_jalr) {
1066b37e4b45SLingrui98    update.full_pred.targets.last := commit_target
1067b37e4b45SLingrui98  }
106809c6f1ddSLingrui98
1069e30430c2SJay  // ****************************************************************
1070e30430c2SJay  // *********************** to prefetch ****************************
1071e30430c2SJay  // ****************************************************************
1072e30430c2SJay
1073e30430c2SJay  if(cacheParams.hasPrefetch){
1074e30430c2SJay    val prefetchPtr = RegInit(FtqPtr(false.B, 0.U))
1075378f00d9SJenius    val diff_prefetch_addr = WireInit(update_target(prefetchPtr.value)) //TODO: remove this
1076378f00d9SJenius
1077e30430c2SJay    prefetchPtr := prefetchPtr + io.toPrefetch.req.fire()
1078e30430c2SJay
1079378f00d9SJenius    ftq_pc_mem.io.other_raddrs(0) := prefetchPtr.value
1080378f00d9SJenius
1081e30430c2SJay    when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s2_resp.ftq_idx)) {
1082e30430c2SJay      prefetchPtr := bpu_s2_resp.ftq_idx
1083e30430c2SJay    }
1084e30430c2SJay
1085cb4f77ceSLingrui98    when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s3_resp.ftq_idx)) {
1086cb4f77ceSLingrui98      prefetchPtr := bpu_s3_resp.ftq_idx
1087a3c55791SJinYue      // XSError(true.B, "\ns3_redirect mechanism not implemented!\n")
1088cb4f77ceSLingrui98    }
1089de7689fcSJay
1090f63797a4SLingrui98
1091f63797a4SLingrui98    val prefetch_is_to_send = WireInit(entry_fetch_status(prefetchPtr.value) === f_to_send)
1092378f00d9SJenius    val prefetch_addr = WireInit( ftq_pc_mem.io.other_rdatas(0).startAddr)
1093f63797a4SLingrui98
1094f63797a4SLingrui98    when (last_cycle_bpu_in && bpu_in_bypass_ptr === prefetchPtr) {
1095f63797a4SLingrui98      prefetch_is_to_send := true.B
10966bf9b30dSLingrui98      prefetch_addr := last_cycle_bpu_target
1097378f00d9SJenius      diff_prefetch_addr := last_cycle_bpu_target // TODO: remove this
1098f63797a4SLingrui98    }
1099f63797a4SLingrui98    io.toPrefetch.req.valid := prefetchPtr =/= bpuPtr && prefetch_is_to_send
1100f63797a4SLingrui98    io.toPrefetch.req.bits.target := prefetch_addr
1101de7689fcSJay
1102de7689fcSJay    when(redirectVec.map(r => r.valid).reduce(_||_)){
1103de7689fcSJay      val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
1104de7689fcSJay      val next = r.ftqIdx + 1.U
1105de7689fcSJay      prefetchPtr := next
1106de7689fcSJay    }
1107de7689fcSJay
1108378f00d9SJenius    // TODO: remove this
110910f8eea3SLingrui98    // XSError(io.toPrefetch.req.valid && diff_prefetch_addr =/= prefetch_addr,
111010f8eea3SLingrui98    //         f"\nprefetch_req_target wrong! prefetchPtr: ${prefetchPtr}, prefetch_addr: ${Hexadecimal(prefetch_addr)} diff_prefetch_addr: ${Hexadecimal(diff_prefetch_addr)}\n")
1111378f00d9SJenius
1112378f00d9SJenius
1113de7689fcSJay    XSError(isBefore(bpuPtr, prefetchPtr) && !isFull(bpuPtr, prefetchPtr), "\nprefetchPtr is before bpuPtr!\n")
1114e8747464SJenius    XSError(isBefore(prefetchPtr, ifuPtr) && !isFull(ifuPtr, prefetchPtr), "\nifuPtr is before prefetchPtr!\n")
1115de7689fcSJay  }
1116de7689fcSJay  else {
1117de7689fcSJay    io.toPrefetch.req <> DontCare
1118de7689fcSJay  }
1119de7689fcSJay
112009c6f1ddSLingrui98  // ******************************************************************************
112109c6f1ddSLingrui98  // **************************** commit perf counters ****************************
112209c6f1ddSLingrui98  // ******************************************************************************
112309c6f1ddSLingrui98
112409c6f1ddSLingrui98  val commit_inst_mask    = VecInit(commit_state.map(c => c === c_commited && do_commit)).asUInt
112509c6f1ddSLingrui98  val commit_mispred_mask = commit_mispredict.asUInt
112609c6f1ddSLingrui98  val commit_not_mispred_mask = ~commit_mispred_mask
112709c6f1ddSLingrui98
112809c6f1ddSLingrui98  val commit_br_mask = commit_pd.brMask.asUInt
112909c6f1ddSLingrui98  val commit_jmp_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.jmpInfo.valid.asTypeOf(UInt(1.W)))
113009c6f1ddSLingrui98  val commit_cfi_mask = (commit_br_mask | commit_jmp_mask)
113109c6f1ddSLingrui98
113209c6f1ddSLingrui98  val mbpInstrs = commit_inst_mask & commit_cfi_mask
113309c6f1ddSLingrui98
113409c6f1ddSLingrui98  val mbpRights = mbpInstrs & commit_not_mispred_mask
113509c6f1ddSLingrui98  val mbpWrongs = mbpInstrs & commit_mispred_mask
113609c6f1ddSLingrui98
113709c6f1ddSLingrui98  io.bpuInfo.bpRight := PopCount(mbpRights)
113809c6f1ddSLingrui98  io.bpuInfo.bpWrong := PopCount(mbpWrongs)
113909c6f1ddSLingrui98
114009c6f1ddSLingrui98  // Cfi Info
114109c6f1ddSLingrui98  for (i <- 0 until PredictWidth) {
114209c6f1ddSLingrui98    val pc = commit_pc_bundle.startAddr + (i * instBytes).U
114309c6f1ddSLingrui98    val v = commit_state(i) === c_commited
114409c6f1ddSLingrui98    val isBr = commit_pd.brMask(i)
114509c6f1ddSLingrui98    val isJmp = commit_pd.jmpInfo.valid && commit_pd.jmpOffset === i.U
114609c6f1ddSLingrui98    val isCfi = isBr || isJmp
114709c6f1ddSLingrui98    val isTaken = commit_cfi.valid && commit_cfi.bits === i.U
114809c6f1ddSLingrui98    val misPred = commit_mispredict(i)
1149c2ad24ebSLingrui98    // val ghist = commit_spec_meta.ghist.predHist
1150c2ad24ebSLingrui98    val histPtr = commit_spec_meta.histPtr
115109c6f1ddSLingrui98    val predCycle = commit_meta.meta(63, 0)
115209c6f1ddSLingrui98    val target = commit_target
115309c6f1ddSLingrui98
115409c6f1ddSLingrui98    val brIdx = OHToUInt(Reverse(Cat(update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U})))
115509c6f1ddSLingrui98    val inFtbEntry = update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U}.reduce(_||_)
115609c6f1ddSLingrui98    val addIntoHist = ((commit_hit === h_hit) && inFtbEntry) || ((!(commit_hit === h_hit) && i.U === commit_cfi.bits && isBr && commit_cfi.valid))
115709c6f1ddSLingrui98    XSDebug(v && do_commit && isCfi, p"cfi_update: isBr(${isBr}) pc(${Hexadecimal(pc)}) " +
1158c2ad24ebSLingrui98    p"taken(${isTaken}) mispred(${misPred}) cycle($predCycle) hist(${histPtr.value}) " +
115909c6f1ddSLingrui98    p"startAddr(${Hexadecimal(commit_pc_bundle.startAddr)}) AddIntoHist(${addIntoHist}) " +
116009c6f1ddSLingrui98    p"brInEntry(${inFtbEntry}) brIdx(${brIdx}) target(${Hexadecimal(target)})\n")
116109c6f1ddSLingrui98  }
116209c6f1ddSLingrui98
116309c6f1ddSLingrui98  val enq = io.fromBpu.resp
11642e1be6e1SSteve Gou  val perf_redirect = backendRedirect
116509c6f1ddSLingrui98
116609c6f1ddSLingrui98  XSPerfAccumulate("entry", validEntries)
116709c6f1ddSLingrui98  XSPerfAccumulate("bpu_to_ftq_stall", enq.valid && !enq.ready)
116809c6f1ddSLingrui98  XSPerfAccumulate("mispredictRedirect", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level)
116909c6f1ddSLingrui98  XSPerfAccumulate("replayRedirect", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level))
117009c6f1ddSLingrui98  XSPerfAccumulate("predecodeRedirect", fromIfuRedirect.valid)
117109c6f1ddSLingrui98
117209c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_bubble", io.toIfu.req.ready && !io.toIfu.req.valid)
117309c6f1ddSLingrui98
117409c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_stall", io.toIfu.req.valid && !io.toIfu.req.ready)
117509c6f1ddSLingrui98  XSPerfAccumulate("from_bpu_real_bubble", !enq.valid && enq.ready && allowBpuIn)
117612cedb6fSLingrui98  XSPerfAccumulate("bpu_to_ifu_bubble", bpuPtr === ifuPtr)
117709c6f1ddSLingrui98
117809c6f1ddSLingrui98  val from_bpu = io.fromBpu.resp.bits
117909c6f1ddSLingrui98  def in_entry_len_map_gen(resp: BranchPredictionBundle)(stage: String) = {
1180b37e4b45SLingrui98    assert(!resp.is_minimal)
118109c6f1ddSLingrui98    val entry_len = (resp.ftb_entry.getFallThrough(resp.pc) - resp.pc) >> instOffsetBits
118209c6f1ddSLingrui98    val entry_len_recording_vec = (1 to PredictWidth+1).map(i => entry_len === i.U)
118309c6f1ddSLingrui98    val entry_len_map = (1 to PredictWidth+1).map(i =>
118409c6f1ddSLingrui98      f"${stage}_ftb_entry_len_$i" -> (entry_len_recording_vec(i-1) && resp.valid)
118509c6f1ddSLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
118609c6f1ddSLingrui98    entry_len_map
118709c6f1ddSLingrui98  }
118809c6f1ddSLingrui98  val s2_entry_len_map = in_entry_len_map_gen(from_bpu.s2)("s2")
1189cb4f77ceSLingrui98  val s3_entry_len_map = in_entry_len_map_gen(from_bpu.s3)("s3")
119009c6f1ddSLingrui98
119109c6f1ddSLingrui98  val to_ifu = io.toIfu.req.bits
119209c6f1ddSLingrui98
119309c6f1ddSLingrui98
119409c6f1ddSLingrui98
119509c6f1ddSLingrui98  val commit_num_inst_recording_vec = (1 to PredictWidth).map(i => PopCount(commit_inst_mask) === i.U)
119609c6f1ddSLingrui98  val commit_num_inst_map = (1 to PredictWidth).map(i =>
119709c6f1ddSLingrui98    f"commit_num_inst_$i" -> (commit_num_inst_recording_vec(i-1) && do_commit)
119809c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
119909c6f1ddSLingrui98
120009c6f1ddSLingrui98
120109c6f1ddSLingrui98
120209c6f1ddSLingrui98  val commit_jal_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJal.asTypeOf(UInt(1.W)))
120309c6f1ddSLingrui98  val commit_jalr_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJalr.asTypeOf(UInt(1.W)))
120409c6f1ddSLingrui98  val commit_call_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasCall.asTypeOf(UInt(1.W)))
120509c6f1ddSLingrui98  val commit_ret_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasRet.asTypeOf(UInt(1.W)))
120609c6f1ddSLingrui98
120709c6f1ddSLingrui98
120809c6f1ddSLingrui98  val mbpBRights = mbpRights & commit_br_mask
120909c6f1ddSLingrui98  val mbpJRights = mbpRights & commit_jal_mask
121009c6f1ddSLingrui98  val mbpIRights = mbpRights & commit_jalr_mask
121109c6f1ddSLingrui98  val mbpCRights = mbpRights & commit_call_mask
121209c6f1ddSLingrui98  val mbpRRights = mbpRights & commit_ret_mask
121309c6f1ddSLingrui98
121409c6f1ddSLingrui98  val mbpBWrongs = mbpWrongs & commit_br_mask
121509c6f1ddSLingrui98  val mbpJWrongs = mbpWrongs & commit_jal_mask
121609c6f1ddSLingrui98  val mbpIWrongs = mbpWrongs & commit_jalr_mask
121709c6f1ddSLingrui98  val mbpCWrongs = mbpWrongs & commit_call_mask
121809c6f1ddSLingrui98  val mbpRWrongs = mbpWrongs & commit_ret_mask
121909c6f1ddSLingrui98
12201d7e5011SLingrui98  val commit_pred_stage = RegNext(pred_stage(commPtr.value))
12211d7e5011SLingrui98
12221d7e5011SLingrui98  def pred_stage_map(src: UInt, name: String) = {
12231d7e5011SLingrui98    (0 until numBpStages).map(i =>
12241d7e5011SLingrui98      f"${name}_stage_${i+1}" -> PopCount(src.asBools.map(_ && commit_pred_stage === BP_STAGES(i)))
12251d7e5011SLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
12261d7e5011SLingrui98  }
12271d7e5011SLingrui98
12281d7e5011SLingrui98  val mispred_stage_map      = pred_stage_map(mbpWrongs,  "mispredict")
12291d7e5011SLingrui98  val br_mispred_stage_map   = pred_stage_map(mbpBWrongs, "br_mispredict")
12301d7e5011SLingrui98  val jalr_mispred_stage_map = pred_stage_map(mbpIWrongs, "jalr_mispredict")
12311d7e5011SLingrui98  val correct_stage_map      = pred_stage_map(mbpRights,  "correct")
12321d7e5011SLingrui98  val br_correct_stage_map   = pred_stage_map(mbpBRights, "br_correct")
12331d7e5011SLingrui98  val jalr_correct_stage_map = pred_stage_map(mbpIRights, "jalr_correct")
12341d7e5011SLingrui98
123509c6f1ddSLingrui98  val update_valid = io.toBpu.update.valid
123609c6f1ddSLingrui98  def u(cond: Bool) = update_valid && cond
123709c6f1ddSLingrui98  val ftb_false_hit = u(update.false_hit)
123865fddcf0Szoujr  // assert(!ftb_false_hit)
123909c6f1ddSLingrui98  val ftb_hit = u(commit_hit === h_hit)
124009c6f1ddSLingrui98
124109c6f1ddSLingrui98  val ftb_new_entry = u(ftbEntryGen.is_init_entry)
1242b37e4b45SLingrui98  val ftb_new_entry_only_br = ftb_new_entry && !update_ftb_entry.jmpValid
1243b37e4b45SLingrui98  val ftb_new_entry_only_jmp = ftb_new_entry && !update_ftb_entry.brValids(0)
1244b37e4b45SLingrui98  val ftb_new_entry_has_br_and_jmp = ftb_new_entry && update_ftb_entry.brValids(0) && update_ftb_entry.jmpValid
124509c6f1ddSLingrui98
124609c6f1ddSLingrui98  val ftb_old_entry = u(ftbEntryGen.is_old_entry)
124709c6f1ddSLingrui98
124809c6f1ddSLingrui98  val ftb_modified_entry = u(ftbEntryGen.is_new_br || ftbEntryGen.is_jalr_target_modified || ftbEntryGen.is_always_taken_modified)
124909c6f1ddSLingrui98  val ftb_modified_entry_new_br = u(ftbEntryGen.is_new_br)
125009c6f1ddSLingrui98  val ftb_modified_entry_jalr_target_modified = u(ftbEntryGen.is_jalr_target_modified)
125109c6f1ddSLingrui98  val ftb_modified_entry_br_full = ftb_modified_entry && ftbEntryGen.is_br_full
125209c6f1ddSLingrui98  val ftb_modified_entry_always_taken = ftb_modified_entry && ftbEntryGen.is_always_taken_modified
125309c6f1ddSLingrui98
125409c6f1ddSLingrui98  val ftb_entry_len = (ftbEntryGen.new_entry.getFallThrough(update.pc) - update.pc) >> instOffsetBits
125509c6f1ddSLingrui98  val ftb_entry_len_recording_vec = (1 to PredictWidth+1).map(i => ftb_entry_len === i.U)
125609c6f1ddSLingrui98  val ftb_init_entry_len_map = (1 to PredictWidth+1).map(i =>
125709c6f1ddSLingrui98    f"ftb_init_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_new_entry)
125809c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
125909c6f1ddSLingrui98  val ftb_modified_entry_len_map = (1 to PredictWidth+1).map(i =>
126009c6f1ddSLingrui98    f"ftb_modified_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_modified_entry)
126109c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
126209c6f1ddSLingrui98
126309c6f1ddSLingrui98  val ftq_occupancy_map = (0 to FtqSize).map(i =>
126409c6f1ddSLingrui98    f"ftq_has_entry_$i" ->( validEntries === i.U)
126509c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
126609c6f1ddSLingrui98
126709c6f1ddSLingrui98  val perfCountsMap = Map(
126809c6f1ddSLingrui98    "BpInstr" -> PopCount(mbpInstrs),
126909c6f1ddSLingrui98    "BpBInstr" -> PopCount(mbpBRights | mbpBWrongs),
127009c6f1ddSLingrui98    "BpRight"  -> PopCount(mbpRights),
127109c6f1ddSLingrui98    "BpWrong"  -> PopCount(mbpWrongs),
127209c6f1ddSLingrui98    "BpBRight" -> PopCount(mbpBRights),
127309c6f1ddSLingrui98    "BpBWrong" -> PopCount(mbpBWrongs),
127409c6f1ddSLingrui98    "BpJRight" -> PopCount(mbpJRights),
127509c6f1ddSLingrui98    "BpJWrong" -> PopCount(mbpJWrongs),
127609c6f1ddSLingrui98    "BpIRight" -> PopCount(mbpIRights),
127709c6f1ddSLingrui98    "BpIWrong" -> PopCount(mbpIWrongs),
127809c6f1ddSLingrui98    "BpCRight" -> PopCount(mbpCRights),
127909c6f1ddSLingrui98    "BpCWrong" -> PopCount(mbpCWrongs),
128009c6f1ddSLingrui98    "BpRRight" -> PopCount(mbpRRights),
128109c6f1ddSLingrui98    "BpRWrong" -> PopCount(mbpRWrongs),
128209c6f1ddSLingrui98
128309c6f1ddSLingrui98    "ftb_false_hit"                -> PopCount(ftb_false_hit),
128409c6f1ddSLingrui98    "ftb_hit"                      -> PopCount(ftb_hit),
128509c6f1ddSLingrui98    "ftb_new_entry"                -> PopCount(ftb_new_entry),
128609c6f1ddSLingrui98    "ftb_new_entry_only_br"        -> PopCount(ftb_new_entry_only_br),
128709c6f1ddSLingrui98    "ftb_new_entry_only_jmp"       -> PopCount(ftb_new_entry_only_jmp),
128809c6f1ddSLingrui98    "ftb_new_entry_has_br_and_jmp" -> PopCount(ftb_new_entry_has_br_and_jmp),
128909c6f1ddSLingrui98    "ftb_old_entry"                -> PopCount(ftb_old_entry),
129009c6f1ddSLingrui98    "ftb_modified_entry"           -> PopCount(ftb_modified_entry),
129109c6f1ddSLingrui98    "ftb_modified_entry_new_br"    -> PopCount(ftb_modified_entry_new_br),
129209c6f1ddSLingrui98    "ftb_jalr_target_modified"     -> PopCount(ftb_modified_entry_jalr_target_modified),
129309c6f1ddSLingrui98    "ftb_modified_entry_br_full"   -> PopCount(ftb_modified_entry_br_full),
129409c6f1ddSLingrui98    "ftb_modified_entry_always_taken" -> PopCount(ftb_modified_entry_always_taken)
12956d0e92edSLingrui98  ) ++ ftb_init_entry_len_map ++ ftb_modified_entry_len_map ++ s2_entry_len_map ++
1296cb4f77ceSLingrui98  s3_entry_len_map ++ commit_num_inst_map ++ ftq_occupancy_map ++
12971d7e5011SLingrui98  mispred_stage_map ++ br_mispred_stage_map ++ jalr_mispred_stage_map ++
12981d7e5011SLingrui98  correct_stage_map ++ br_correct_stage_map ++ jalr_correct_stage_map
129909c6f1ddSLingrui98
130009c6f1ddSLingrui98  for((key, value) <- perfCountsMap) {
130109c6f1ddSLingrui98    XSPerfAccumulate(key, value)
130209c6f1ddSLingrui98  }
130309c6f1ddSLingrui98
130409c6f1ddSLingrui98  // --------------------------- Debug --------------------------------
130509c6f1ddSLingrui98  // XSDebug(enq_fire, p"enq! " + io.fromBpu.resp.bits.toPrintable)
130609c6f1ddSLingrui98  XSDebug(io.toIfu.req.fire, p"fire to ifu " + io.toIfu.req.bits.toPrintable)
130709c6f1ddSLingrui98  XSDebug(do_commit, p"deq! [ptr] $do_commit_ptr\n")
130809c6f1ddSLingrui98  XSDebug(true.B, p"[bpuPtr] $bpuPtr, [ifuPtr] $ifuPtr, [ifuWbPtr] $ifuWbPtr [commPtr] $commPtr\n")
130909c6f1ddSLingrui98  XSDebug(true.B, p"[in] v:${io.fromBpu.resp.valid} r:${io.fromBpu.resp.ready} " +
131009c6f1ddSLingrui98    p"[out] v:${io.toIfu.req.valid} r:${io.toIfu.req.ready}\n")
131109c6f1ddSLingrui98  XSDebug(do_commit, p"[deq info] cfiIndex: $commit_cfi, $commit_pc_bundle, target: ${Hexadecimal(commit_target)}\n")
131209c6f1ddSLingrui98
131309c6f1ddSLingrui98  //   def ubtbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
131409c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
131509c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
131609c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
131709c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
131809c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
131909c6f1ddSLingrui98  //           !taken),
132009c6f1ddSLingrui98  //         !taken),
132109c6f1ddSLingrui98  //       false.B)
132209c6f1ddSLingrui98  //     }
132309c6f1ddSLingrui98  //   }
132409c6f1ddSLingrui98
132509c6f1ddSLingrui98  //   def btbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
132609c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
132709c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
132809c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
132909c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
133009c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
133109c6f1ddSLingrui98  //           !taken),
133209c6f1ddSLingrui98  //         !taken),
133309c6f1ddSLingrui98  //       false.B)
133409c6f1ddSLingrui98  //     }
133509c6f1ddSLingrui98  //   }
133609c6f1ddSLingrui98
133709c6f1ddSLingrui98  //   def tageCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
133809c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
133909c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
134009c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
134109c6f1ddSLingrui98  //         isWrong ^ (ans.taken.asBool === taken),
134209c6f1ddSLingrui98  //       false.B)
134309c6f1ddSLingrui98  //     }
134409c6f1ddSLingrui98  //   }
134509c6f1ddSLingrui98
134609c6f1ddSLingrui98  //   def loopCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
134709c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
134809c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
134909c6f1ddSLingrui98  //       Mux(valid && (pd.isBr) && ans.hit.asBool,
135009c6f1ddSLingrui98  //         isWrong ^ (!taken),
135109c6f1ddSLingrui98  //           false.B)
135209c6f1ddSLingrui98  //     }
135309c6f1ddSLingrui98  //   }
135409c6f1ddSLingrui98
135509c6f1ddSLingrui98  //   def rasCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
135609c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
135709c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
135809c6f1ddSLingrui98  //       Mux(valid && pd.isRet.asBool /*&& taken*/ && ans.hit.asBool,
135909c6f1ddSLingrui98  //         isWrong ^ (ans.target === commitEntry.target),
136009c6f1ddSLingrui98  //           false.B)
136109c6f1ddSLingrui98  //     }
136209c6f1ddSLingrui98  //   }
136309c6f1ddSLingrui98
136409c6f1ddSLingrui98  //   val ubtbRights = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), false.B)
136509c6f1ddSLingrui98  //   val ubtbWrongs = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), true.B)
136609c6f1ddSLingrui98  //   // btb and ubtb pred jal and jalr as well
136709c6f1ddSLingrui98  //   val btbRights = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), false.B)
136809c6f1ddSLingrui98  //   val btbWrongs = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), true.B)
136909c6f1ddSLingrui98  //   val tageRights = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), false.B)
137009c6f1ddSLingrui98  //   val tageWrongs = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), true.B)
137109c6f1ddSLingrui98
137209c6f1ddSLingrui98  //   val loopRights = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), false.B)
137309c6f1ddSLingrui98  //   val loopWrongs = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), true.B)
137409c6f1ddSLingrui98
137509c6f1ddSLingrui98  //   val rasRights = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), false.B)
137609c6f1ddSLingrui98  //   val rasWrongs = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), true.B)
13771ca0e4f3SYinan Xu
1378cd365d4cSrvcoresjw  val perfEvents = Seq(
1379cd365d4cSrvcoresjw    ("bpu_s2_redirect        ", bpu_s2_redirect                                                             ),
1380cb4f77ceSLingrui98    ("bpu_s3_redirect        ", bpu_s3_redirect                                                             ),
1381cd365d4cSrvcoresjw    ("bpu_to_ftq_stall       ", enq.valid && ~enq.ready                                                     ),
1382cd365d4cSrvcoresjw    ("mispredictRedirect     ", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level),
1383cd365d4cSrvcoresjw    ("replayRedirect         ", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level)  ),
1384cd365d4cSrvcoresjw    ("predecodeRedirect      ", fromIfuRedirect.valid                                                       ),
1385cd365d4cSrvcoresjw    ("to_ifu_bubble          ", io.toIfu.req.ready && !io.toIfu.req.valid                                   ),
1386cd365d4cSrvcoresjw    ("from_bpu_real_bubble   ", !enq.valid && enq.ready && allowBpuIn                                       ),
1387cd365d4cSrvcoresjw    ("BpInstr                ", PopCount(mbpInstrs)                                                         ),
1388cd365d4cSrvcoresjw    ("BpBInstr               ", PopCount(mbpBRights | mbpBWrongs)                                           ),
1389cd365d4cSrvcoresjw    ("BpRight                ", PopCount(mbpRights)                                                         ),
1390cd365d4cSrvcoresjw    ("BpWrong                ", PopCount(mbpWrongs)                                                         ),
1391cd365d4cSrvcoresjw    ("BpBRight               ", PopCount(mbpBRights)                                                        ),
1392cd365d4cSrvcoresjw    ("BpBWrong               ", PopCount(mbpBWrongs)                                                        ),
1393cd365d4cSrvcoresjw    ("BpJRight               ", PopCount(mbpJRights)                                                        ),
1394cd365d4cSrvcoresjw    ("BpJWrong               ", PopCount(mbpJWrongs)                                                        ),
1395cd365d4cSrvcoresjw    ("BpIRight               ", PopCount(mbpIRights)                                                        ),
1396cd365d4cSrvcoresjw    ("BpIWrong               ", PopCount(mbpIWrongs)                                                        ),
1397cd365d4cSrvcoresjw    ("BpCRight               ", PopCount(mbpCRights)                                                        ),
1398cd365d4cSrvcoresjw    ("BpCWrong               ", PopCount(mbpCWrongs)                                                        ),
1399cd365d4cSrvcoresjw    ("BpRRight               ", PopCount(mbpRRights)                                                        ),
1400cd365d4cSrvcoresjw    ("BpRWrong               ", PopCount(mbpRWrongs)                                                        ),
1401cd365d4cSrvcoresjw    ("ftb_false_hit          ", PopCount(ftb_false_hit)                                                     ),
1402cd365d4cSrvcoresjw    ("ftb_hit                ", PopCount(ftb_hit)                                                           ),
1403cd365d4cSrvcoresjw  )
14041ca0e4f3SYinan Xu  generatePerfEvent()
140509c6f1ddSLingrui98}