xref: /XiangShan/src/main/scala/xiangshan/frontend/NewFtq.scala (revision 4d53e0efbe5daf676b4a4bfc064ec7f80daa0232)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
15c49ebec8SHaoyuan Feng*
16c49ebec8SHaoyuan Feng*
17c49ebec8SHaoyuan Feng* Acknowledgement
18c49ebec8SHaoyuan Feng*
19c49ebec8SHaoyuan Feng* This implementation is inspired by several key papers:
20c49ebec8SHaoyuan Feng* [1] Glenn Reinman, Todd Austin, and Brad Calder. "[A scalable front-end architecture for fast instruction delivery.]
21c49ebec8SHaoyuan Feng* (https://doi.org/10.1109/ISCA.1999.765954)" 26th International Symposium on Computer Architecture (ISCA). 1999.
22c49ebec8SHaoyuan Feng*
2309c6f1ddSLingrui98***************************************************************************************/
2409c6f1ddSLingrui98
2509c6f1ddSLingrui98package xiangshan.frontend
2609c6f1ddSLingrui98
2709c6f1ddSLingrui98import chisel3._
2809c6f1ddSLingrui98import chisel3.util._
29cf7d6b7aSMuziimport org.chipsalliance.cde.config.Parameters
303c02ee8fSwakafaimport utility._
31cf7d6b7aSMuziimport utility.ChiselDB
32cf7d6b7aSMuziimport utils._
3309c6f1ddSLingrui98import xiangshan._
341ca0e4f3SYinan Xuimport xiangshan.backend.CtrlToFtqIO
352e1be6e1SSteve Gouimport xiangshan.backend.decode.ImmUnion
36cf7d6b7aSMuziimport xiangshan.frontend.icache._
3751532d8bSGuokai Chen
3851532d8bSGuokai Chenclass FtqDebugBundle extends Bundle {
3951532d8bSGuokai Chen  val pc        = UInt(39.W)
4051532d8bSGuokai Chen  val target    = UInt(39.W)
4151532d8bSGuokai Chen  val isBr      = Bool()
4251532d8bSGuokai Chen  val isJmp     = Bool()
4351532d8bSGuokai Chen  val isCall    = Bool()
4451532d8bSGuokai Chen  val isRet     = Bool()
4551532d8bSGuokai Chen  val misPred   = Bool()
4651532d8bSGuokai Chen  val isTaken   = Bool()
4751532d8bSGuokai Chen  val predStage = UInt(2.W)
4851532d8bSGuokai Chen}
4909c6f1ddSLingrui98
503b739f49SXuan Huclass FtqPtr(entries: Int) extends CircularQueuePtr[FtqPtr](
513b739f49SXuan Hu      entries
5209c6f1ddSLingrui98    ) {
533b739f49SXuan Hu  def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).FtqSize)
5409c6f1ddSLingrui98}
5509c6f1ddSLingrui98
5609c6f1ddSLingrui98object FtqPtr {
5709c6f1ddSLingrui98  def apply(f: Bool, v: UInt)(implicit p: Parameters): FtqPtr = {
5809c6f1ddSLingrui98    val ptr = Wire(new FtqPtr)
5909c6f1ddSLingrui98    ptr.flag  := f
6009c6f1ddSLingrui98    ptr.value := v
6109c6f1ddSLingrui98    ptr
6209c6f1ddSLingrui98  }
63cf7d6b7aSMuzi  def inverse(ptr: FtqPtr)(implicit p: Parameters): FtqPtr =
6409c6f1ddSLingrui98    apply(!ptr.flag, ptr.value)
6509c6f1ddSLingrui98}
6609c6f1ddSLingrui98
6709c6f1ddSLingrui98class FtqNRSRAM[T <: Data](gen: T, numRead: Int)(implicit p: Parameters) extends XSModule {
6809c6f1ddSLingrui98
6909c6f1ddSLingrui98  val io = IO(new Bundle() {
7009c6f1ddSLingrui98    val raddr = Input(Vec(numRead, UInt(log2Up(FtqSize).W)))
7109c6f1ddSLingrui98    val ren   = Input(Vec(numRead, Bool()))
7209c6f1ddSLingrui98    val rdata = Output(Vec(numRead, gen))
7309c6f1ddSLingrui98    val waddr = Input(UInt(log2Up(FtqSize).W))
7409c6f1ddSLingrui98    val wen   = Input(Bool())
7509c6f1ddSLingrui98    val wdata = Input(gen)
7609c6f1ddSLingrui98  })
7709c6f1ddSLingrui98
7809c6f1ddSLingrui98  for (i <- 0 until numRead) {
7939d55402Spengxiao    val sram = Module(new SRAMTemplate(gen, FtqSize, withClockGate = true))
8009c6f1ddSLingrui98    sram.io.r.req.valid       := io.ren(i)
8109c6f1ddSLingrui98    sram.io.r.req.bits.setIdx := io.raddr(i)
8209c6f1ddSLingrui98    io.rdata(i)               := sram.io.r.resp.data(0)
8309c6f1ddSLingrui98    sram.io.w.req.valid       := io.wen
8409c6f1ddSLingrui98    sram.io.w.req.bits.setIdx := io.waddr
8509c6f1ddSLingrui98    sram.io.w.req.bits.data   := VecInit(io.wdata)
8609c6f1ddSLingrui98  }
8709c6f1ddSLingrui98
8809c6f1ddSLingrui98}
8909c6f1ddSLingrui98
9009c6f1ddSLingrui98class Ftq_RF_Components(implicit p: Parameters) extends XSBundle with BPUUtils {
9109c6f1ddSLingrui98  val startAddr     = UInt(VAddrBits.W)
92b37e4b45SLingrui98  val nextLineAddr  = UInt(VAddrBits.W)
9309c6f1ddSLingrui98  val isNextMask    = Vec(PredictWidth, Bool())
94b37e4b45SLingrui98  val fallThruError = Bool()
95b37e4b45SLingrui98  // val carry = Bool()
9609c6f1ddSLingrui98  def getPc(offset: UInt) = {
9785215037SLingrui98    def getHigher(pc: UInt) = pc(VAddrBits - 1, log2Ceil(PredictWidth) + instOffsetBits + 1)
9885215037SLingrui98    def getOffset(pc: UInt) = pc(log2Ceil(PredictWidth) + instOffsetBits, instOffsetBits)
99cf7d6b7aSMuzi    Cat(
100cf7d6b7aSMuzi      getHigher(Mux(isNextMask(offset) && startAddr(log2Ceil(PredictWidth) + instOffsetBits), nextLineAddr, startAddr)),
101cf7d6b7aSMuzi      getOffset(startAddr) + offset,
102cf7d6b7aSMuzi      0.U(instOffsetBits.W)
103cf7d6b7aSMuzi    )
10409c6f1ddSLingrui98  }
10509c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
106a229ab6cSLingrui98    def carryPos(addr: UInt) = addr(instOffsetBits + log2Ceil(PredictWidth) + 1)
107adc0b8dfSGuokai Chen    this.startAddr    := resp.pc(3)
108adc0b8dfSGuokai Chen    this.nextLineAddr := resp.pc(3) + (FetchWidth * 4 * 2).U // may be broken on other configs
10909c6f1ddSLingrui98    this.isNextMask := VecInit((0 until PredictWidth).map(i =>
110935edac4STang Haojin      (resp.pc(3)(log2Ceil(PredictWidth), 1) +& i.U)(log2Ceil(PredictWidth)).asBool
11109c6f1ddSLingrui98    ))
112adc0b8dfSGuokai Chen    this.fallThruError := resp.fallThruError(3)
11309c6f1ddSLingrui98    this
11409c6f1ddSLingrui98  }
115cf7d6b7aSMuzi  override def toPrintable: Printable =
116b37e4b45SLingrui98    p"startAddr:${Hexadecimal(startAddr)}"
11709c6f1ddSLingrui98}
11809c6f1ddSLingrui98
11909c6f1ddSLingrui98class Ftq_pd_Entry(implicit p: Parameters) extends XSBundle {
12009c6f1ddSLingrui98  val brMask    = Vec(PredictWidth, Bool())
12109c6f1ddSLingrui98  val jmpInfo   = ValidUndirectioned(Vec(3, Bool()))
12209c6f1ddSLingrui98  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
12309c6f1ddSLingrui98  val jalTarget = UInt(VAddrBits.W)
12409c6f1ddSLingrui98  val rvcMask   = Vec(PredictWidth, Bool())
12509c6f1ddSLingrui98  def hasJal    = jmpInfo.valid && !jmpInfo.bits(0)
12609c6f1ddSLingrui98  def hasJalr   = jmpInfo.valid && jmpInfo.bits(0)
12709c6f1ddSLingrui98  def hasCall   = jmpInfo.valid && jmpInfo.bits(1)
12809c6f1ddSLingrui98  def hasRet    = jmpInfo.valid && jmpInfo.bits(2)
12909c6f1ddSLingrui98
13009c6f1ddSLingrui98  def fromPdWb(pdWb: PredecodeWritebackBundle) = {
13109c6f1ddSLingrui98    val pds = pdWb.pd
13209c6f1ddSLingrui98    this.brMask        := VecInit(pds.map(pd => pd.isBr && pd.valid))
13309c6f1ddSLingrui98    this.jmpInfo.valid := VecInit(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid)).asUInt.orR
134cf7d6b7aSMuzi    this.jmpInfo.bits := ParallelPriorityMux(
135cf7d6b7aSMuzi      pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid),
136cf7d6b7aSMuzi      pds.map(pd => VecInit(pd.isJalr, pd.isCall, pd.isRet))
137cf7d6b7aSMuzi    )
13809c6f1ddSLingrui98    this.jmpOffset := ParallelPriorityEncoder(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid))
13909c6f1ddSLingrui98    this.rvcMask   := VecInit(pds.map(pd => pd.isRVC))
14009c6f1ddSLingrui98    this.jalTarget := pdWb.jalTarget
14109c6f1ddSLingrui98  }
14209c6f1ddSLingrui98
14309c6f1ddSLingrui98  def toPd(offset: UInt) = {
14409c6f1ddSLingrui98    require(offset.getWidth == log2Ceil(PredictWidth))
14509c6f1ddSLingrui98    val pd = Wire(new PreDecodeInfo)
14609c6f1ddSLingrui98    pd.valid := true.B
14709c6f1ddSLingrui98    pd.isRVC := rvcMask(offset)
14809c6f1ddSLingrui98    val isBr   = brMask(offset)
14909c6f1ddSLingrui98    val isJalr = offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(0)
15009c6f1ddSLingrui98    pd.brType := Cat(offset === jmpOffset && jmpInfo.valid, isJalr || isBr)
15109c6f1ddSLingrui98    pd.isCall := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(1)
15209c6f1ddSLingrui98    pd.isRet  := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(2)
15309c6f1ddSLingrui98    pd
15409c6f1ddSLingrui98  }
15509c6f1ddSLingrui98}
15609c6f1ddSLingrui98
157f9c51548Sssszwicclass PrefetchPtrDB(implicit p: Parameters) extends Bundle {
158f9c51548Sssszwic  val fromFtqPtr = UInt(log2Up(p(XSCoreParamsKey).FtqSize).W)
159f9c51548Sssszwic  val fromIfuPtr = UInt(log2Up(p(XSCoreParamsKey).FtqSize).W)
160f9c51548Sssszwic}
16109c6f1ddSLingrui98
1623711cf36S小造xu_zhclass Ftq_Redirect_SRAMEntry(implicit p: Parameters) extends SpeculativeInfo {
163abdc3a32Sxu_zh  val sc_disagree = if (!env.FPGAPlatform) Some(Vec(numBr, Bool())) else None
1643711cf36S小造xu_zh}
16509c6f1ddSLingrui98
16609c6f1ddSLingrui98class Ftq_1R_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
16709c6f1ddSLingrui98  val meta      = UInt(MaxMetaLength.W)
168deb3a97eSGao-Zeyu  val ftb_entry = new FTBEntry
16909c6f1ddSLingrui98}
17009c6f1ddSLingrui98
17109c6f1ddSLingrui98class Ftq_Pred_Info(implicit p: Parameters) extends XSBundle {
17209c6f1ddSLingrui98  val target   = UInt(VAddrBits.W)
17309c6f1ddSLingrui98  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
17409c6f1ddSLingrui98}
17509c6f1ddSLingrui98
17609c6f1ddSLingrui98class FtqRead[T <: Data](private val gen: T)(implicit p: Parameters) extends XSBundle {
17754c6d89dSxiaofeibao-xjtu  val valid  = Output(Bool())
17809c6f1ddSLingrui98  val ptr    = Output(new FtqPtr)
17909c6f1ddSLingrui98  val offset = Output(UInt(log2Ceil(PredictWidth).W))
18009c6f1ddSLingrui98  val data   = Input(gen)
18154c6d89dSxiaofeibao-xjtu  def apply(valid: Bool, ptr: FtqPtr, offset: UInt) = {
18254c6d89dSxiaofeibao-xjtu    this.valid  := valid
18309c6f1ddSLingrui98    this.ptr    := ptr
18409c6f1ddSLingrui98    this.offset := offset
18509c6f1ddSLingrui98    this.data
18609c6f1ddSLingrui98  }
18709c6f1ddSLingrui98}
18809c6f1ddSLingrui98
18909c6f1ddSLingrui98class FtqToBpuIO(implicit p: Parameters) extends XSBundle {
19009c6f1ddSLingrui98  val redirect       = Valid(new BranchPredictionRedirect)
19109c6f1ddSLingrui98  val update         = Valid(new BranchPredictionUpdate)
19209c6f1ddSLingrui98  val enq_ptr        = Output(new FtqPtr)
193fd3aa057SYuandongliang  val redirctFromIFU = Output(Bool())
19409c6f1ddSLingrui98}
19509c6f1ddSLingrui98
1962c9f4a9fSxu_zhclass BpuFlushInfo(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
19709c6f1ddSLingrui98  // when ifu pipeline is not stalled,
19809c6f1ddSLingrui98  // a packet from bpu s3 can reach f1 at most
19909c6f1ddSLingrui98  val s2 = Valid(new FtqPtr)
200cb4f77ceSLingrui98  val s3 = Valid(new FtqPtr)
201cf7d6b7aSMuzi  def shouldFlushBy(src: Valid[FtqPtr], idx_to_flush: FtqPtr) =
20209c6f1ddSLingrui98    src.valid && !isAfter(src.bits, idx_to_flush)
20309c6f1ddSLingrui98  def shouldFlushByStage2(idx: FtqPtr) = shouldFlushBy(s2, idx)
204cb4f77ceSLingrui98  def shouldFlushByStage3(idx: FtqPtr) = shouldFlushBy(s3, idx)
20509c6f1ddSLingrui98}
2062c9f4a9fSxu_zh
2072c9f4a9fSxu_zhclass FtqToIfuIO(implicit p: Parameters) extends XSBundle {
2082c9f4a9fSxu_zh  val req              = Decoupled(new FetchRequestBundle)
2092c9f4a9fSxu_zh  val redirect         = Valid(new BranchPredictionRedirect)
2102c9f4a9fSxu_zh  val topdown_redirect = Valid(new BranchPredictionRedirect)
2112c9f4a9fSxu_zh  val flushFromBpu     = new BpuFlushInfo
21209c6f1ddSLingrui98}
21309c6f1ddSLingrui98
2142c9f4a9fSxu_zhclass FtqToICacheIO(implicit p: Parameters) extends XSBundle {
215c5c5edaeSJenius  // NOTE: req.bits must be prepare in T cycle
216c5c5edaeSJenius  // while req.valid is set true in T + 1 cycle
217c5c5edaeSJenius  val req = Decoupled(new FtqToICacheRequestBundle)
218c5c5edaeSJenius}
219c5c5edaeSJenius
2202c9f4a9fSxu_zhclass FtqToPrefetchIO(implicit p: Parameters) extends XSBundle {
221b92f8445Sssszwic  val req              = Decoupled(new FtqICacheInfo)
2222c9f4a9fSxu_zh  val flushFromBpu     = new BpuFlushInfo
223fbdb359dSMuzi  val backendException = UInt(ExceptionType.width.W)
224b92f8445Sssszwic}
225b92f8445Sssszwic
22609c6f1ddSLingrui98trait HasBackendRedirectInfo extends HasXSParameter {
22709c6f1ddSLingrui98  def isLoadReplay(r: Valid[Redirect]) = r.bits.flushItself()
22809c6f1ddSLingrui98}
22909c6f1ddSLingrui98
23009c6f1ddSLingrui98class FtqToCtrlIO(implicit p: Parameters) extends XSBundle with HasBackendRedirectInfo {
231b56f947eSYinan Xu  // write to backend pc mem
232b56f947eSYinan Xu  val pc_mem_wen   = Output(Bool())
233f533cba7SHuSipeng  val pc_mem_waddr = Output(UInt(log2Ceil(FtqSize).W))
234b56f947eSYinan Xu  val pc_mem_wdata = Output(new Ftq_RF_Components)
235873dc383SLingrui98  // newest target
2366022c595SsinceforYy  val newest_entry_en     = Output(Bool())
237873dc383SLingrui98  val newest_entry_target = Output(UInt(VAddrBits.W))
238873dc383SLingrui98  val newest_entry_ptr    = Output(new FtqPtr)
23909c6f1ddSLingrui98}
24009c6f1ddSLingrui98
24109c6f1ddSLingrui98class FTBEntryGen(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo with HasBPUParameter {
24209c6f1ddSLingrui98  val io = IO(new Bundle {
24309c6f1ddSLingrui98    val start_addr     = Input(UInt(VAddrBits.W))
24409c6f1ddSLingrui98    val old_entry      = Input(new FTBEntry)
24509c6f1ddSLingrui98    val pd             = Input(new Ftq_pd_Entry)
24609c6f1ddSLingrui98    val cfiIndex       = Flipped(Valid(UInt(log2Ceil(PredictWidth).W)))
24709c6f1ddSLingrui98    val target         = Input(UInt(VAddrBits.W))
24809c6f1ddSLingrui98    val hit            = Input(Bool())
24909c6f1ddSLingrui98    val mispredict_vec = Input(Vec(PredictWidth, Bool()))
25009c6f1ddSLingrui98
25109c6f1ddSLingrui98    val new_entry         = Output(new FTBEntry)
25209c6f1ddSLingrui98    val new_br_insert_pos = Output(Vec(numBr, Bool()))
25309c6f1ddSLingrui98    val taken_mask        = Output(Vec(numBr, Bool()))
254803124a6SLingrui98    val jmp_taken         = Output(Bool())
25509c6f1ddSLingrui98    val mispred_mask      = Output(Vec(numBr + 1, Bool()))
25609c6f1ddSLingrui98
25709c6f1ddSLingrui98    // for perf counters
25809c6f1ddSLingrui98    val is_init_entry           = Output(Bool())
25909c6f1ddSLingrui98    val is_old_entry            = Output(Bool())
26009c6f1ddSLingrui98    val is_new_br               = Output(Bool())
26109c6f1ddSLingrui98    val is_jalr_target_modified = Output(Bool())
262dcf4211fSYuandongliang    val is_strong_bias_modified = Output(Bool())
26309c6f1ddSLingrui98    val is_br_full              = Output(Bool())
26409c6f1ddSLingrui98  })
26509c6f1ddSLingrui98
26609c6f1ddSLingrui98  // no mispredictions detected at predecode
26709c6f1ddSLingrui98  val hit = io.hit
26809c6f1ddSLingrui98  val pd  = io.pd
26909c6f1ddSLingrui98
27009c6f1ddSLingrui98  val init_entry = WireInit(0.U.asTypeOf(new FTBEntry))
27109c6f1ddSLingrui98
27209c6f1ddSLingrui98  val cfi_is_br       = pd.brMask(io.cfiIndex.bits) && io.cfiIndex.valid
27309c6f1ddSLingrui98  val entry_has_jmp   = pd.jmpInfo.valid
27409c6f1ddSLingrui98  val new_jmp_is_jal  = entry_has_jmp && !pd.jmpInfo.bits(0) && io.cfiIndex.valid
27509c6f1ddSLingrui98  val new_jmp_is_jalr = entry_has_jmp && pd.jmpInfo.bits(0) && io.cfiIndex.valid
27609c6f1ddSLingrui98  val new_jmp_is_call = entry_has_jmp && pd.jmpInfo.bits(1) && io.cfiIndex.valid
27709c6f1ddSLingrui98  val new_jmp_is_ret  = entry_has_jmp && pd.jmpInfo.bits(2) && io.cfiIndex.valid
27809c6f1ddSLingrui98  val last_jmp_rvi    = entry_has_jmp && pd.jmpOffset === (PredictWidth - 1).U && !pd.rvcMask.last
279a60a2901SLingrui98  // val last_br_rvi = cfi_is_br && io.cfiIndex.bits === (PredictWidth-1).U && !pd.rvcMask.last
28009c6f1ddSLingrui98
28109c6f1ddSLingrui98  val cfi_is_jal  = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jal
28209c6f1ddSLingrui98  val cfi_is_jalr = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jalr
28309c6f1ddSLingrui98
284a60a2901SLingrui98  def carryPos = log2Ceil(PredictWidth) + instOffsetBits
28509c6f1ddSLingrui98  def getLower(pc: UInt) = pc(carryPos - 1, instOffsetBits)
28609c6f1ddSLingrui98  // if not hit, establish a new entry
28709c6f1ddSLingrui98  init_entry.valid := true.B
28809c6f1ddSLingrui98  // tag is left for ftb to assign
289eeb5ff92SLingrui98
290eeb5ff92SLingrui98  // case br
291eeb5ff92SLingrui98  val init_br_slot = init_entry.getSlotForBr(0)
292eeb5ff92SLingrui98  when(cfi_is_br) {
293eeb5ff92SLingrui98    init_br_slot.valid  := true.B
294eeb5ff92SLingrui98    init_br_slot.offset := io.cfiIndex.bits
295b37e4b45SLingrui98    init_br_slot.setLowerStatByTarget(io.start_addr, io.target, numBr == 1)
296dcf4211fSYuandongliang    init_entry.strong_bias(0) := true.B // set to strong bias on init
297eeb5ff92SLingrui98  }
298eeb5ff92SLingrui98
299eeb5ff92SLingrui98  // case jmp
300eeb5ff92SLingrui98  when(entry_has_jmp) {
301eeb5ff92SLingrui98    init_entry.tailSlot.offset := pd.jmpOffset
302eeb5ff92SLingrui98    init_entry.tailSlot.valid  := new_jmp_is_jal || new_jmp_is_jalr
303eeb5ff92SLingrui98    init_entry.tailSlot.setLowerStatByTarget(io.start_addr, Mux(cfi_is_jalr, io.target, pd.jalTarget), isShare = false)
304dcf4211fSYuandongliang    init_entry.strong_bias(numBr - 1) := new_jmp_is_jalr // set strong bias for the jalr on init
305eeb5ff92SLingrui98  }
306eeb5ff92SLingrui98
30709c6f1ddSLingrui98  val jmpPft = getLower(io.start_addr) +& pd.jmpOffset +& Mux(pd.rvcMask(pd.jmpOffset), 1.U, 2.U)
308a60a2901SLingrui98  init_entry.pftAddr := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft, getLower(io.start_addr))
309a60a2901SLingrui98  init_entry.carry   := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft(carryPos - instOffsetBits), true.B)
310*4d53e0efSzhou tao
311*4d53e0efSzhou tao  require(
312*4d53e0efSzhou tao    isPow2(PredictWidth),
313*4d53e0efSzhou tao    "If PredictWidth does not satisfy the power of 2," +
314*4d53e0efSzhou tao      "pftAddr := getLower(io.start_addr) and carry := true.B  not working!!"
315*4d53e0efSzhou tao  )
316*4d53e0efSzhou tao
31709c6f1ddSLingrui98  init_entry.isJalr := new_jmp_is_jalr
31809c6f1ddSLingrui98  init_entry.isCall := new_jmp_is_call
31909c6f1ddSLingrui98  init_entry.isRet  := new_jmp_is_ret
320f4ebc4b2SLingrui98  // that means fall thru points to the middle of an inst
321ae409b75SSteve Gou  init_entry.last_may_be_rvi_call := pd.jmpOffset === (PredictWidth - 1).U && !pd.rvcMask(pd.jmpOffset)
32209c6f1ddSLingrui98
32309c6f1ddSLingrui98  // if hit, check whether a new cfi(only br is possible) is detected
32409c6f1ddSLingrui98  val oe              = io.old_entry
325eeb5ff92SLingrui98  val br_recorded_vec = oe.getBrRecordedVec(io.cfiIndex.bits)
32609c6f1ddSLingrui98  val br_recorded     = br_recorded_vec.asUInt.orR
32709c6f1ddSLingrui98  val is_new_br       = cfi_is_br && !br_recorded
32809c6f1ddSLingrui98  val new_br_offset   = io.cfiIndex.bits
32909c6f1ddSLingrui98  // vec(i) means new br will be inserted BEFORE old br(i)
330eeb5ff92SLingrui98  val allBrSlotsVec = oe.allSlotsForBr
33109c6f1ddSLingrui98  val new_br_insert_onehot = VecInit((0 until numBr).map {
332cf7d6b7aSMuzi    i =>
333cf7d6b7aSMuzi      i match {
334eeb5ff92SLingrui98        case 0 =>
335eeb5ff92SLingrui98          !allBrSlotsVec(0).valid || new_br_offset < allBrSlotsVec(0).offset
336eeb5ff92SLingrui98        case idx =>
337eeb5ff92SLingrui98          allBrSlotsVec(idx - 1).valid && new_br_offset > allBrSlotsVec(idx - 1).offset &&
338eeb5ff92SLingrui98          (!allBrSlotsVec(idx).valid || new_br_offset < allBrSlotsVec(idx).offset)
33909c6f1ddSLingrui98      }
34009c6f1ddSLingrui98  })
34109c6f1ddSLingrui98
34209c6f1ddSLingrui98  val old_entry_modified = WireInit(io.old_entry)
34309c6f1ddSLingrui98  for (i <- 0 until numBr) {
344eeb5ff92SLingrui98    val slot = old_entry_modified.allSlotsForBr(i)
345eeb5ff92SLingrui98    when(new_br_insert_onehot(i)) {
346eeb5ff92SLingrui98      slot.valid  := true.B
347eeb5ff92SLingrui98      slot.offset := new_br_offset
348b37e4b45SLingrui98      slot.setLowerStatByTarget(io.start_addr, io.target, i == numBr - 1)
349dcf4211fSYuandongliang      old_entry_modified.strong_bias(i) := true.B
350eeb5ff92SLingrui98    }.elsewhen(new_br_offset > oe.allSlotsForBr(i).offset) {
351dcf4211fSYuandongliang      old_entry_modified.strong_bias(i) := false.B
352eeb5ff92SLingrui98      // all other fields remain unchanged
353eeb5ff92SLingrui98    }.otherwise {
354eeb5ff92SLingrui98      // case i == 0, remain unchanged
355eeb5ff92SLingrui98      if (i != 0) {
356b37e4b45SLingrui98        val noNeedToMoveFromFormerSlot = (i == numBr - 1).B && !oe.brSlots.last.valid
357eeb5ff92SLingrui98        when(!noNeedToMoveFromFormerSlot) {
358eeb5ff92SLingrui98          slot.fromAnotherSlot(oe.allSlotsForBr(i - 1))
359dcf4211fSYuandongliang          old_entry_modified.strong_bias(i) := oe.strong_bias(i)
36009c6f1ddSLingrui98        }
361eeb5ff92SLingrui98      }
362eeb5ff92SLingrui98    }
363eeb5ff92SLingrui98  }
36409c6f1ddSLingrui98
365eeb5ff92SLingrui98  // two circumstances:
366eeb5ff92SLingrui98  // 1. oe: | br | j  |, new br should be in front of j, thus addr of j should be new pft
367eeb5ff92SLingrui98  // 2. oe: | br | br |, new br could be anywhere between, thus new pft is the addr of either
368eeb5ff92SLingrui98  //        the previous last br or the new br
369eeb5ff92SLingrui98  val may_have_to_replace = oe.noEmptySlotForNewBr
370eeb5ff92SLingrui98  val pft_need_to_change  = is_new_br && may_have_to_replace
37109c6f1ddSLingrui98  // it should either be the given last br or the new br
37209c6f1ddSLingrui98  when(pft_need_to_change) {
373eeb5ff92SLingrui98    val new_pft_offset =
374cf7d6b7aSMuzi      Mux(!new_br_insert_onehot.asUInt.orR, new_br_offset, oe.allSlotsForBr.last.offset)
375eeb5ff92SLingrui98
376710a8720SLingrui98    // set jmp to invalid
37709c6f1ddSLingrui98    old_entry_modified.pftAddr              := getLower(io.start_addr) + new_pft_offset
37809c6f1ddSLingrui98    old_entry_modified.carry                := (getLower(io.start_addr) +& new_pft_offset).head(1).asBool
379f4ebc4b2SLingrui98    old_entry_modified.last_may_be_rvi_call := false.B
38009c6f1ddSLingrui98    old_entry_modified.isCall               := false.B
38109c6f1ddSLingrui98    old_entry_modified.isRet                := false.B
382eeb5ff92SLingrui98    old_entry_modified.isJalr               := false.B
38309c6f1ddSLingrui98  }
38409c6f1ddSLingrui98
38509c6f1ddSLingrui98  val old_entry_jmp_target_modified = WireInit(oe)
386710a8720SLingrui98  val old_target      = oe.tailSlot.getTarget(io.start_addr) // may be wrong because we store only 20 lowest bits
387b37e4b45SLingrui98  val old_tail_is_jmp = !oe.tailSlot.sharing
388eeb5ff92SLingrui98  val jalr_target_modified = cfi_is_jalr && (old_target =/= io.target) && old_tail_is_jmp // TODO: pass full jalr target
3893bcae573SLingrui98  when(jalr_target_modified) {
39009c6f1ddSLingrui98    old_entry_jmp_target_modified.setByJmpTarget(io.start_addr, io.target)
391dcf4211fSYuandongliang    old_entry_jmp_target_modified.strong_bias := 0.U.asTypeOf(Vec(numBr, Bool()))
39209c6f1ddSLingrui98  }
39309c6f1ddSLingrui98
394dcf4211fSYuandongliang  val old_entry_strong_bias    = WireInit(oe)
395dcf4211fSYuandongliang  val strong_bias_modified_vec = Wire(Vec(numBr, Bool())) // whether modified or not
39609c6f1ddSLingrui98  for (i <- 0 until numBr) {
397dcf4211fSYuandongliang    when(br_recorded_vec(0)) {
398dcf4211fSYuandongliang      old_entry_strong_bias.strong_bias(0) :=
399dcf4211fSYuandongliang        oe.strong_bias(0) && io.cfiIndex.valid && oe.brValids(0) && io.cfiIndex.bits === oe.brOffset(0)
400dcf4211fSYuandongliang    }.elsewhen(br_recorded_vec(numBr - 1)) {
401dcf4211fSYuandongliang      old_entry_strong_bias.strong_bias(0) := false.B
402dcf4211fSYuandongliang      old_entry_strong_bias.strong_bias(numBr - 1) :=
403dcf4211fSYuandongliang        oe.strong_bias(numBr - 1) && io.cfiIndex.valid && oe.brValids(numBr - 1) && io.cfiIndex.bits === oe.brOffset(
404dcf4211fSYuandongliang          numBr - 1
405dcf4211fSYuandongliang        )
40609c6f1ddSLingrui98    }
407dcf4211fSYuandongliang    strong_bias_modified_vec(i) := oe.strong_bias(i) && oe.brValids(i) && !old_entry_strong_bias.strong_bias(i)
408dcf4211fSYuandongliang  }
409dcf4211fSYuandongliang  val strong_bias_modified = strong_bias_modified_vec.reduce(_ || _)
41009c6f1ddSLingrui98
41109c6f1ddSLingrui98  val derived_from_old_entry =
412dcf4211fSYuandongliang    Mux(is_new_br, old_entry_modified, Mux(jalr_target_modified, old_entry_jmp_target_modified, old_entry_strong_bias))
41309c6f1ddSLingrui98
41409c6f1ddSLingrui98  io.new_entry := Mux(!hit, init_entry, derived_from_old_entry)
41509c6f1ddSLingrui98
41609c6f1ddSLingrui98  io.new_br_insert_pos := new_br_insert_onehot
41709c6f1ddSLingrui98  io.taken_mask := VecInit((io.new_entry.brOffset zip io.new_entry.brValids).map {
41809c6f1ddSLingrui98    case (off, v) => io.cfiIndex.bits === off && io.cfiIndex.valid && v
41909c6f1ddSLingrui98  })
420803124a6SLingrui98  io.jmp_taken := io.new_entry.jmpValid && io.new_entry.tailSlot.offset === io.cfiIndex.bits
42109c6f1ddSLingrui98  for (i <- 0 until numBr) {
42209c6f1ddSLingrui98    io.mispred_mask(i) := io.new_entry.brValids(i) && io.mispredict_vec(io.new_entry.brOffset(i))
42309c6f1ddSLingrui98  }
42409c6f1ddSLingrui98  io.mispred_mask.last := io.new_entry.jmpValid && io.mispredict_vec(pd.jmpOffset)
42509c6f1ddSLingrui98
42609c6f1ddSLingrui98  // for perf counters
42709c6f1ddSLingrui98  io.is_init_entry           := !hit
428dcf4211fSYuandongliang  io.is_old_entry            := hit && !is_new_br && !jalr_target_modified && !strong_bias_modified
42909c6f1ddSLingrui98  io.is_new_br               := hit && is_new_br
4303bcae573SLingrui98  io.is_jalr_target_modified := hit && jalr_target_modified
431dcf4211fSYuandongliang  io.is_strong_bias_modified := hit && strong_bias_modified
432eeb5ff92SLingrui98  io.is_br_full              := hit && is_new_br && may_have_to_replace
43309c6f1ddSLingrui98}
43409c6f1ddSLingrui98
435c5c5edaeSJeniusclass FtqPcMemWrapper(numOtherReads: Int)(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo {
436c5c5edaeSJenius  val io = IO(new Bundle {
437c5c5edaeSJenius    val ifuPtr_w           = Input(new FtqPtr)
438c5c5edaeSJenius    val ifuPtrPlus1_w      = Input(new FtqPtr)
4396bf9b30dSLingrui98    val ifuPtrPlus2_w      = Input(new FtqPtr)
440b92f8445Sssszwic    val pfPtr_w            = Input(new FtqPtr)
441b92f8445Sssszwic    val pfPtrPlus1_w       = Input(new FtqPtr)
442c5c5edaeSJenius    val commPtr_w          = Input(new FtqPtr)
4436bf9b30dSLingrui98    val commPtrPlus1_w     = Input(new FtqPtr)
444c5c5edaeSJenius    val ifuPtr_rdata       = Output(new Ftq_RF_Components)
445c5c5edaeSJenius    val ifuPtrPlus1_rdata  = Output(new Ftq_RF_Components)
4466bf9b30dSLingrui98    val ifuPtrPlus2_rdata  = Output(new Ftq_RF_Components)
447b92f8445Sssszwic    val pfPtr_rdata        = Output(new Ftq_RF_Components)
448b92f8445Sssszwic    val pfPtrPlus1_rdata   = Output(new Ftq_RF_Components)
449c5c5edaeSJenius    val commPtr_rdata      = Output(new Ftq_RF_Components)
4506bf9b30dSLingrui98    val commPtrPlus1_rdata = Output(new Ftq_RF_Components)
451c5c5edaeSJenius
452c5c5edaeSJenius    val wen   = Input(Bool())
453c5c5edaeSJenius    val waddr = Input(UInt(log2Ceil(FtqSize).W))
454c5c5edaeSJenius    val wdata = Input(new Ftq_RF_Components)
455c5c5edaeSJenius  })
456c5c5edaeSJenius
4576bf9b30dSLingrui98  val num_pc_read = numOtherReads + 5
458cf7d6b7aSMuzi  val mem         = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize, num_pc_read, 1, "FtqPC"))
459c5c5edaeSJenius  mem.io.wen(0)   := io.wen
460c5c5edaeSJenius  mem.io.waddr(0) := io.waddr
461c5c5edaeSJenius  mem.io.wdata(0) := io.wdata
462c5c5edaeSJenius
4636bf9b30dSLingrui98  // read one cycle ahead for ftq local reads
464cf7d6b7aSMuzi  val raddr_vec = VecInit(Seq(
465cf7d6b7aSMuzi    io.ifuPtr_w.value,
466cf7d6b7aSMuzi    io.ifuPtrPlus1_w.value,
467cf7d6b7aSMuzi    io.ifuPtrPlus2_w.value,
468cf7d6b7aSMuzi    io.pfPtr_w.value,
469cf7d6b7aSMuzi    io.pfPtrPlus1_w.value,
470cf7d6b7aSMuzi    io.commPtrPlus1_w.value,
471cf7d6b7aSMuzi    io.commPtr_w.value
472cf7d6b7aSMuzi  ))
473c5c5edaeSJenius
474c5c5edaeSJenius  mem.io.raddr := raddr_vec
475c5c5edaeSJenius
476b92f8445Sssszwic  io.ifuPtr_rdata       := mem.io.rdata.dropRight(6).last
477b92f8445Sssszwic  io.ifuPtrPlus1_rdata  := mem.io.rdata.dropRight(5).last
478b92f8445Sssszwic  io.ifuPtrPlus2_rdata  := mem.io.rdata.dropRight(4).last
479b92f8445Sssszwic  io.pfPtr_rdata        := mem.io.rdata.dropRight(3).last
480b92f8445Sssszwic  io.pfPtrPlus1_rdata   := mem.io.rdata.dropRight(2).last
4816bf9b30dSLingrui98  io.commPtrPlus1_rdata := mem.io.rdata.dropRight(1).last
482c5c5edaeSJenius  io.commPtr_rdata      := mem.io.rdata.last
483c5c5edaeSJenius}
484c5c5edaeSJenius
48509c6f1ddSLingrui98class Ftq(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper
486e30430c2SJay    with HasBackendRedirectInfo with BPUUtils with HasBPUConst with HasPerfEvents
487e30430c2SJay    with HasICacheParameters {
48809c6f1ddSLingrui98  val io = IO(new Bundle {
48909c6f1ddSLingrui98    val fromBpu     = Flipped(new BpuToFtqIO)
49009c6f1ddSLingrui98    val fromIfu     = Flipped(new IfuToFtqIO)
49109c6f1ddSLingrui98    val fromBackend = Flipped(new CtrlToFtqIO)
49209c6f1ddSLingrui98
49309c6f1ddSLingrui98    val toBpu       = new FtqToBpuIO
49409c6f1ddSLingrui98    val toIfu       = new FtqToIfuIO
495c5c5edaeSJenius    val toICache    = new FtqToICacheIO
49609c6f1ddSLingrui98    val toBackend   = new FtqToCtrlIO
497b92f8445Sssszwic    val toPrefetch  = new FtqToPrefetchIO
498b92f8445Sssszwic    val icacheFlush = Output(Bool())
4997052722fSJay
50009c6f1ddSLingrui98    val bpuInfo = new Bundle {
50109c6f1ddSLingrui98      val bpRight = Output(UInt(XLEN.W))
50209c6f1ddSLingrui98      val bpWrong = Output(UInt(XLEN.W))
50309c6f1ddSLingrui98    }
5041d1e6d4dSJenius
5051d1e6d4dSJenius    val mmioCommitRead = Flipped(new mmioCommitRead)
506d2b20d1aSTang Haojin
507d2b20d1aSTang Haojin    // for perf
508d2b20d1aSTang Haojin    val ControlBTBMissBubble = Output(Bool())
509d2b20d1aSTang Haojin    val TAGEMissBubble       = Output(Bool())
510d2b20d1aSTang Haojin    val SCMissBubble         = Output(Bool())
511d2b20d1aSTang Haojin    val ITTAGEMissBubble     = Output(Bool())
512d2b20d1aSTang Haojin    val RASMissBubble        = Output(Bool())
51309c6f1ddSLingrui98  })
51409c6f1ddSLingrui98  io.bpuInfo := DontCare
51509c6f1ddSLingrui98
516d2b20d1aSTang Haojin  val topdown_stage = RegInit(0.U.asTypeOf(new FrontendTopDownBundle))
517d2b20d1aSTang Haojin  // only driven by clock, not valid-ready
518d2b20d1aSTang Haojin  topdown_stage                  := io.fromBpu.resp.bits.topdown_info
519d2b20d1aSTang Haojin  io.toIfu.req.bits.topdown_info := topdown_stage
520d2b20d1aSTang Haojin
521d2b20d1aSTang Haojin  val ifuRedirected = RegInit(VecInit(Seq.fill(FtqSize)(false.B)))
522d2b20d1aSTang Haojin
52342dddaceSXuan Hu  // io.fromBackend.ftqIdxAhead: bju(BjuCnt) + ldReplay + exception
52442dddaceSXuan Hu  val ftqIdxAhead = VecInit(Seq.tabulate(FtqRedirectAheadNum)(i => io.fromBackend.ftqIdxAhead(i))) // only bju
52542dddaceSXuan Hu  val ftqIdxSelOH = io.fromBackend.ftqIdxSelOH.bits(FtqRedirectAheadNum - 1, 0)
526bace178aSGao-Zeyu
527bace178aSGao-Zeyu  val aheadValid         = ftqIdxAhead.map(_.valid).reduce(_ | _) && !io.fromBackend.redirect.valid
528bace178aSGao-Zeyu  val realAhdValid       = io.fromBackend.redirect.valid && (ftqIdxSelOH > 0.U) && RegNext(aheadValid)
529d2b20d1aSTang Haojin  val backendRedirect    = Wire(Valid(new BranchPredictionRedirect))
5301c6fc24aSEaston Man  val backendRedirectReg = Wire(Valid(new BranchPredictionRedirect))
5311c6fc24aSEaston Man  backendRedirectReg.valid := RegNext(Mux(realAhdValid, false.B, backendRedirect.valid))
5321c6fc24aSEaston Man  backendRedirectReg.bits  := RegEnable(backendRedirect.bits, backendRedirect.valid)
533bace178aSGao-Zeyu  val fromBackendRedirect = Wire(Valid(new BranchPredictionRedirect))
534bace178aSGao-Zeyu  fromBackendRedirect := Mux(realAhdValid, backendRedirect, backendRedirectReg)
53509c6f1ddSLingrui98
536df5b4b8eSYinan Xu  val stage2Flush  = backendRedirect.valid
53709c6f1ddSLingrui98  val backendFlush = stage2Flush || RegNext(stage2Flush)
53809c6f1ddSLingrui98  val ifuFlush     = Wire(Bool())
53909c6f1ddSLingrui98
54009c6f1ddSLingrui98  val flush = stage2Flush || RegNext(stage2Flush)
54109c6f1ddSLingrui98
54209c6f1ddSLingrui98  val allowBpuIn, allowToIfu = WireInit(false.B)
54309c6f1ddSLingrui98  val flushToIfu             = !allowToIfu
544bace178aSGao-Zeyu  allowBpuIn := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
545bace178aSGao-Zeyu  allowToIfu := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
54609c6f1ddSLingrui98
547f56177cbSJenius  def copyNum                                              = 5
548b92f8445Sssszwic  val bpuPtr, ifuPtr, pfPtr, ifuWbPtr, commPtr, robCommPtr = RegInit(FtqPtr(false.B, 0.U))
549c9bc5480SLingrui98  val ifuPtrPlus1                                          = RegInit(FtqPtr(false.B, 1.U))
5506bf9b30dSLingrui98  val ifuPtrPlus2                                          = RegInit(FtqPtr(false.B, 2.U))
551b92f8445Sssszwic  val pfPtrPlus1                                           = RegInit(FtqPtr(false.B, 1.U))
5526bf9b30dSLingrui98  val commPtrPlus1                                         = RegInit(FtqPtr(false.B, 1.U))
553f56177cbSJenius  val copied_ifu_ptr                                       = Seq.fill(copyNum)(RegInit(FtqPtr(false.B, 0.U)))
554dc270d3bSJenius  val copied_bpu_ptr                                       = Seq.fill(copyNum)(RegInit(FtqPtr(false.B, 0.U)))
5556bf9b30dSLingrui98  require(FtqSize >= 4)
556c5c5edaeSJenius  val ifuPtr_write       = WireInit(ifuPtr)
557c5c5edaeSJenius  val ifuPtrPlus1_write  = WireInit(ifuPtrPlus1)
5586bf9b30dSLingrui98  val ifuPtrPlus2_write  = WireInit(ifuPtrPlus2)
559b92f8445Sssszwic  val pfPtr_write        = WireInit(pfPtr)
560b92f8445Sssszwic  val pfPtrPlus1_write   = WireInit(pfPtrPlus1)
561c5c5edaeSJenius  val ifuWbPtr_write     = WireInit(ifuWbPtr)
562c5c5edaeSJenius  val commPtr_write      = WireInit(commPtr)
5636bf9b30dSLingrui98  val commPtrPlus1_write = WireInit(commPtrPlus1)
56489cc69c1STang Haojin  val robCommPtr_write   = WireInit(robCommPtr)
565c5c5edaeSJenius  ifuPtr       := ifuPtr_write
566c5c5edaeSJenius  ifuPtrPlus1  := ifuPtrPlus1_write
5676bf9b30dSLingrui98  ifuPtrPlus2  := ifuPtrPlus2_write
568b92f8445Sssszwic  pfPtr        := pfPtr_write
569b92f8445Sssszwic  pfPtrPlus1   := pfPtrPlus1_write
570c5c5edaeSJenius  ifuWbPtr     := ifuWbPtr_write
571c5c5edaeSJenius  commPtr      := commPtr_write
572f83ef67eSLingrui98  commPtrPlus1 := commPtrPlus1_write
573f56177cbSJenius  copied_ifu_ptr.map { ptr =>
574f56177cbSJenius    ptr := ifuPtr_write
575f56177cbSJenius    dontTouch(ptr)
576f56177cbSJenius  }
57789cc69c1STang Haojin  robCommPtr := robCommPtr_write
57809c6f1ddSLingrui98  val validEntries = distanceBetween(bpuPtr, commPtr)
57943aca6c2SGuokai Chen  val canCommit    = Wire(Bool())
58009c6f1ddSLingrui98
581c1b28b66STang Haojin  // Instruction page fault and instruction access fault are sent from backend with redirect requests.
582c1b28b66STang Haojin  // When IPF and IAF are sent, backendPcFaultIfuPtr points to the FTQ entry whose first instruction
583c1b28b66STang Haojin  // raises IPF or IAF, which is ifuWbPtr_write or IfuPtr_write.
584c1b28b66STang Haojin  // Only when IFU has written back that FTQ entry can backendIpf and backendIaf be false because this
585c1b28b66STang Haojin  // makes sure that IAF and IPF are correctly raised instead of being flushed by redirect requests.
586fbdb359dSMuzi  val backendException  = RegInit(ExceptionType.none)
587c1b28b66STang Haojin  val backendPcFaultPtr = RegInit(FtqPtr(false.B, 0.U))
588c1b28b66STang Haojin  when(fromBackendRedirect.valid) {
589fbdb359dSMuzi    backendException := ExceptionType.fromOH(
590fbdb359dSMuzi      has_pf = fromBackendRedirect.bits.cfiUpdate.backendIPF,
591fbdb359dSMuzi      has_gpf = fromBackendRedirect.bits.cfiUpdate.backendIGPF,
592fbdb359dSMuzi      has_af = fromBackendRedirect.bits.cfiUpdate.backendIAF
593fbdb359dSMuzi    )
594cf7d6b7aSMuzi    when(
595fbdb359dSMuzi      fromBackendRedirect.bits.cfiUpdate.backendIPF || fromBackendRedirect.bits.cfiUpdate.backendIGPF ||
596fbdb359dSMuzi        fromBackendRedirect.bits.cfiUpdate.backendIAF
597cf7d6b7aSMuzi    ) {
598c1b28b66STang Haojin      backendPcFaultPtr := ifuWbPtr_write
599c1b28b66STang Haojin    }
600c1b28b66STang Haojin  }.elsewhen(ifuWbPtr =/= backendPcFaultPtr) {
601fbdb359dSMuzi    backendException := ExceptionType.none
602c1b28b66STang Haojin  }
603c1b28b66STang Haojin
60409c6f1ddSLingrui98  // **********************************************************************
60509c6f1ddSLingrui98  // **************************** enq from bpu ****************************
60609c6f1ddSLingrui98  // **********************************************************************
60743aca6c2SGuokai Chen  val new_entry_ready = validEntries < FtqSize.U || canCommit
60809c6f1ddSLingrui98  io.fromBpu.resp.ready := new_entry_ready
60909c6f1ddSLingrui98
61009c6f1ddSLingrui98  val bpu_s2_resp     = io.fromBpu.resp.bits.s2
611cb4f77ceSLingrui98  val bpu_s3_resp     = io.fromBpu.resp.bits.s3
612adc0b8dfSGuokai Chen  val bpu_s2_redirect = bpu_s2_resp.valid(3) && bpu_s2_resp.hasRedirect(3)
613adc0b8dfSGuokai Chen  val bpu_s3_redirect = bpu_s3_resp.valid(3) && bpu_s3_resp.hasRedirect(3)
61409c6f1ddSLingrui98
61509c6f1ddSLingrui98  io.toBpu.enq_ptr := bpuPtr
616935edac4STang Haojin  val enq_fire    = io.fromBpu.resp.fire && allowBpuIn // from bpu s1
617935edac4STang Haojin  val bpu_in_fire = (io.fromBpu.resp.fire || bpu_s2_redirect || bpu_s3_redirect) && allowBpuIn
61809c6f1ddSLingrui98
619b37e4b45SLingrui98  val bpu_in_resp     = io.fromBpu.resp.bits.selectedResp
620adc0b8dfSGuokai Chen  val bpu_in_stage    = io.fromBpu.resp.bits.selectedRespIdxForFtq
62109c6f1ddSLingrui98  val bpu_in_resp_ptr = Mux(bpu_in_stage === BP_S1, bpuPtr, bpu_in_resp.ftq_idx)
62209c6f1ddSLingrui98  val bpu_in_resp_idx = bpu_in_resp_ptr.value
62309c6f1ddSLingrui98
624b92f8445Sssszwic  // read ports:      pfReq1 + pfReq2 ++  ifuReq1 + ifuReq2 + ifuReq3 + commitUpdate2 + commitUpdate
625b92f8445Sssszwic  val ftq_pc_mem = Module(new FtqPcMemWrapper(2))
6266bf9b30dSLingrui98  // resp from uBTB
627c5c5edaeSJenius  ftq_pc_mem.io.wen   := bpu_in_fire
628c5c5edaeSJenius  ftq_pc_mem.io.waddr := bpu_in_resp_idx
629c5c5edaeSJenius  ftq_pc_mem.io.wdata.fromBranchPrediction(bpu_in_resp)
63009c6f1ddSLingrui98
63109c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
632cf7d6b7aSMuzi  val ftq_redirect_mem = Module(new SyncDataModuleTemplate(
633cf7d6b7aSMuzi    new Ftq_Redirect_SRAMEntry,
634cf7d6b7aSMuzi    FtqSize,
635cf7d6b7aSMuzi    IfuRedirectNum + FtqRedirectAheadNum + 1,
636cf7d6b7aSMuzi    1,
637cf7d6b7aSMuzi    hasRen = true
638cf7d6b7aSMuzi  ))
63909c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
640deb3a97eSGao-Zeyu  ftq_redirect_mem.io.wen(0)   := io.fromBpu.resp.bits.lastStage.valid(3)
641deb3a97eSGao-Zeyu  ftq_redirect_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
642deb3a97eSGao-Zeyu  ftq_redirect_mem.io.wdata(0) := io.fromBpu.resp.bits.last_stage_spec_info
643deb3a97eSGao-Zeyu  println(f"ftq redirect MEM: entry ${ftq_redirect_mem.io.wdata(0).getWidth} * ${FtqSize} * 3")
64409c6f1ddSLingrui98
64509c6f1ddSLingrui98  val ftq_meta_1r_sram = Module(new FtqNRSRAM(new Ftq_1R_SRAMEntry, 1))
64609c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
647adc0b8dfSGuokai Chen  ftq_meta_1r_sram.io.wen             := io.fromBpu.resp.bits.lastStage.valid(3)
64809c6f1ddSLingrui98  ftq_meta_1r_sram.io.waddr           := io.fromBpu.resp.bits.lastStage.ftq_idx.value
649c2d1ec7dSLingrui98  ftq_meta_1r_sram.io.wdata.meta      := io.fromBpu.resp.bits.last_stage_meta
650deb3a97eSGao-Zeyu  ftq_meta_1r_sram.io.wdata.ftb_entry := io.fromBpu.resp.bits.last_stage_ftb_entry
65195a47398SGao-Zeyu  //                                                            ifuRedirect + backendRedirect (commit moved to ftq_meta_1r_sram)
652cf7d6b7aSMuzi  val ftb_entry_mem = Module(new SyncDataModuleTemplate(
653cf7d6b7aSMuzi    new FTBEntry_FtqMem,
654cf7d6b7aSMuzi    FtqSize,
655cf7d6b7aSMuzi    IfuRedirectNum + FtqRedirectAheadNum,
656cf7d6b7aSMuzi    1,
657cf7d6b7aSMuzi    hasRen = true
658cf7d6b7aSMuzi  ))
659adc0b8dfSGuokai Chen  ftb_entry_mem.io.wen(0)   := io.fromBpu.resp.bits.lastStage.valid(3)
66009c6f1ddSLingrui98  ftb_entry_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
661c2d1ec7dSLingrui98  ftb_entry_mem.io.wdata(0) := io.fromBpu.resp.bits.last_stage_ftb_entry
66209c6f1ddSLingrui98
66309c6f1ddSLingrui98  // multi-write
664b0ed7239SLingrui98  val update_target = Reg(Vec(FtqSize, UInt(VAddrBits.W))) // could be taken target or fallThrough //TODO: remove this
6656bf9b30dSLingrui98  val newest_entry_target          = Reg(UInt(VAddrBits.W))
6661c6fc24aSEaston Man  val newest_entry_target_modified = RegInit(false.B)
6676bf9b30dSLingrui98  val newest_entry_ptr             = Reg(new FtqPtr)
6681c6fc24aSEaston Man  val newest_entry_ptr_modified    = RegInit(false.B)
66909c6f1ddSLingrui98  val cfiIndex_vec                 = Reg(Vec(FtqSize, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))))
67009c6f1ddSLingrui98  val mispredict_vec               = Reg(Vec(FtqSize, Vec(PredictWidth, Bool())))
67109c6f1ddSLingrui98  val pred_stage                   = Reg(Vec(FtqSize, UInt(2.W)))
672209a4cafSSteve Gou  val pred_s1_cycle                = if (!env.FPGAPlatform) Some(Reg(Vec(FtqSize, UInt(64.W)))) else None
67309c6f1ddSLingrui98
67491346769SMuzi  val c_empty :: c_toCommit :: c_committed :: c_flushed :: Nil = Enum(4)
6751c6fc24aSEaston Man  val commitStateQueueReg = RegInit(VecInit(Seq.fill(FtqSize) {
67691346769SMuzi    VecInit(Seq.fill(PredictWidth)(c_empty))
67709c6f1ddSLingrui98  }))
6781c6fc24aSEaston Man  val commitStateQueueEnable = WireInit(VecInit(Seq.fill(FtqSize)(false.B)))
6791c6fc24aSEaston Man  val commitStateQueueNext   = WireInit(commitStateQueueReg)
6801c6fc24aSEaston Man
6811c6fc24aSEaston Man  for (f <- 0 until FtqSize) {
6821c6fc24aSEaston Man    when(commitStateQueueEnable(f)) {
6831c6fc24aSEaston Man      commitStateQueueReg(f) := commitStateQueueNext(f)
6841c6fc24aSEaston Man    }
6851c6fc24aSEaston Man  }
68609c6f1ddSLingrui98
68709c6f1ddSLingrui98  val f_to_send :: f_sent :: Nil = Enum(2)
68809c6f1ddSLingrui98  val entry_fetch_status         = RegInit(VecInit(Seq.fill(FtqSize)(f_sent)))
68909c6f1ddSLingrui98
69009c6f1ddSLingrui98  val h_not_hit :: h_false_hit :: h_hit :: Nil = Enum(3)
69109c6f1ddSLingrui98  val entry_hit_status                         = RegInit(VecInit(Seq.fill(FtqSize)(h_not_hit)))
69209c6f1ddSLingrui98
693f63797a4SLingrui98  // modify registers one cycle later to cut critical path
694f63797a4SLingrui98  val last_cycle_bpu_in       = RegNext(bpu_in_fire)
6951c6fc24aSEaston Man  val last_cycle_bpu_in_ptr   = RegEnable(bpu_in_resp_ptr, bpu_in_fire)
6966bf9b30dSLingrui98  val last_cycle_bpu_in_idx   = last_cycle_bpu_in_ptr.value
6971c6fc24aSEaston Man  val last_cycle_bpu_target   = RegEnable(bpu_in_resp.getTarget(3), bpu_in_fire)
6981c6fc24aSEaston Man  val last_cycle_cfiIndex     = RegEnable(bpu_in_resp.cfiIndex(3), bpu_in_fire)
6991c6fc24aSEaston Man  val last_cycle_bpu_in_stage = RegEnable(bpu_in_stage, bpu_in_fire)
700f56177cbSJenius
7017be982afSLingrui98  def extra_copyNum_for_commitStateQueue = 2
7021c6fc24aSEaston Man  val copied_last_cycle_bpu_in =
7031c6fc24aSEaston Man    VecInit(Seq.fill(copyNum + extra_copyNum_for_commitStateQueue)(RegNext(bpu_in_fire)))
7041c6fc24aSEaston Man  val copied_last_cycle_bpu_in_ptr_for_ftq =
7051c6fc24aSEaston Man    VecInit(Seq.fill(extra_copyNum_for_commitStateQueue)(RegEnable(bpu_in_resp_ptr, bpu_in_fire)))
706f56177cbSJenius
7071c6fc24aSEaston Man  newest_entry_target_modified := false.B
7081c6fc24aSEaston Man  newest_entry_ptr_modified    := false.B
709f63797a4SLingrui98  when(last_cycle_bpu_in) {
710f63797a4SLingrui98    entry_fetch_status(last_cycle_bpu_in_idx) := f_to_send
711f63797a4SLingrui98    cfiIndex_vec(last_cycle_bpu_in_idx)       := last_cycle_cfiIndex
712f63797a4SLingrui98    pred_stage(last_cycle_bpu_in_idx)         := last_cycle_bpu_in_stage
7136bf9b30dSLingrui98
714b0ed7239SLingrui98    update_target(last_cycle_bpu_in_idx) := last_cycle_bpu_target // TODO: remove this
7151c6fc24aSEaston Man    newest_entry_target_modified         := true.B
7166bf9b30dSLingrui98    newest_entry_target                  := last_cycle_bpu_target
7171c6fc24aSEaston Man    newest_entry_ptr_modified            := true.B
7186bf9b30dSLingrui98    newest_entry_ptr                     := last_cycle_bpu_in_ptr
71909c6f1ddSLingrui98  }
72009c6f1ddSLingrui98
7217be982afSLingrui98  // reduce fanout by delay write for a cycle
7227be982afSLingrui98  when(RegNext(last_cycle_bpu_in)) {
7231c6fc24aSEaston Man    mispredict_vec(RegEnable(last_cycle_bpu_in_idx, last_cycle_bpu_in)) :=
7241c6fc24aSEaston Man      WireInit(VecInit(Seq.fill(PredictWidth)(false.B)))
7257be982afSLingrui98  }
7267be982afSLingrui98
727209a4cafSSteve Gou  // record s1 pred cycles
728cf7d6b7aSMuzi  pred_s1_cycle.map { vec =>
729209a4cafSSteve Gou    when(bpu_in_fire && (bpu_in_stage === BP_S1)) {
730209a4cafSSteve Gou      vec(bpu_in_resp_ptr.value) := bpu_in_resp.full_pred(0).predCycle.getOrElse(0.U)
731209a4cafSSteve Gou    }
732cf7d6b7aSMuzi  }
733209a4cafSSteve Gou
7347be982afSLingrui98  // reduce fanout using copied last_cycle_bpu_in and copied last_cycle_bpu_in_ptr
7357be982afSLingrui98  val copied_last_cycle_bpu_in_for_ftq = copied_last_cycle_bpu_in.takeRight(extra_copyNum_for_commitStateQueue)
7367be982afSLingrui98  copied_last_cycle_bpu_in_for_ftq.zip(copied_last_cycle_bpu_in_ptr_for_ftq).zipWithIndex.map {
7377be982afSLingrui98    case ((in, ptr), i) =>
7387be982afSLingrui98      when(in) {
7397be982afSLingrui98        val perSetEntries = FtqSize / extra_copyNum_for_commitStateQueue // 32
7407be982afSLingrui98        require(FtqSize % extra_copyNum_for_commitStateQueue == 0)
7417be982afSLingrui98        for (j <- 0 until perSetEntries) {
7429361b0c5SLingrui98          when(ptr.value === (i * perSetEntries + j).U) {
74391346769SMuzi            commitStateQueueNext(i * perSetEntries + j) := VecInit(Seq.fill(PredictWidth)(c_empty))
7441c6fc24aSEaston Man            // Clock gating optimization, use 1 gate cell to control a row
7451c6fc24aSEaston Man            commitStateQueueEnable(i * perSetEntries + j) := true.B
7467be982afSLingrui98          }
7477be982afSLingrui98        }
7487be982afSLingrui98      }
7499361b0c5SLingrui98  }
7507be982afSLingrui98
75109c6f1ddSLingrui98  bpuPtr := bpuPtr + enq_fire
752dc270d3bSJenius  copied_bpu_ptr.map(_ := bpuPtr + enq_fire)
753c9bc5480SLingrui98  when(io.toIfu.req.fire && allowToIfu) {
754c5c5edaeSJenius    ifuPtr_write      := ifuPtrPlus1
7556bf9b30dSLingrui98    ifuPtrPlus1_write := ifuPtrPlus2
7566bf9b30dSLingrui98    ifuPtrPlus2_write := ifuPtrPlus2 + 1.U
757c9bc5480SLingrui98  }
758b92f8445Sssszwic  when(io.toPrefetch.req.fire && allowToIfu) {
759b92f8445Sssszwic    pfPtr_write      := pfPtrPlus1
760b92f8445Sssszwic    pfPtrPlus1_write := pfPtrPlus1 + 1.U
761b92f8445Sssszwic  }
76209c6f1ddSLingrui98
76309c6f1ddSLingrui98  // only use ftb result to assign hit status
764adc0b8dfSGuokai Chen  when(bpu_s2_resp.valid(3)) {
765adc0b8dfSGuokai Chen    entry_hit_status(bpu_s2_resp.ftq_idx.value) := Mux(bpu_s2_resp.full_pred(3).hit, h_hit, h_not_hit)
76609c6f1ddSLingrui98  }
76709c6f1ddSLingrui98
7682f4a3aa4SLingrui98  io.toIfu.flushFromBpu.s2.valid      := bpu_s2_redirect
76909c6f1ddSLingrui98  io.toIfu.flushFromBpu.s2.bits       := bpu_s2_resp.ftq_idx
770b92f8445Sssszwic  io.toPrefetch.flushFromBpu.s2.valid := bpu_s2_redirect
771b92f8445Sssszwic  io.toPrefetch.flushFromBpu.s2.bits  := bpu_s2_resp.ftq_idx
772adc0b8dfSGuokai Chen  when(bpu_s2_redirect) {
77309c6f1ddSLingrui98    bpuPtr := bpu_s2_resp.ftq_idx + 1.U
774dc270d3bSJenius    copied_bpu_ptr.map(_ := bpu_s2_resp.ftq_idx + 1.U)
77509c6f1ddSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
77609c6f1ddSLingrui98    when(!isBefore(ifuPtr, bpu_s2_resp.ftq_idx)) {
777c5c5edaeSJenius      ifuPtr_write      := bpu_s2_resp.ftq_idx
778c5c5edaeSJenius      ifuPtrPlus1_write := bpu_s2_resp.ftq_idx + 1.U
7796bf9b30dSLingrui98      ifuPtrPlus2_write := bpu_s2_resp.ftq_idx + 2.U
78009c6f1ddSLingrui98    }
781b92f8445Sssszwic    when(!isBefore(pfPtr, bpu_s2_resp.ftq_idx)) {
782b92f8445Sssszwic      pfPtr_write      := bpu_s2_resp.ftq_idx
783b92f8445Sssszwic      pfPtrPlus1_write := bpu_s2_resp.ftq_idx + 1.U
784b92f8445Sssszwic    }
78509c6f1ddSLingrui98  }
78609c6f1ddSLingrui98
787cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.valid      := bpu_s3_redirect
788cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.bits       := bpu_s3_resp.ftq_idx
789b92f8445Sssszwic  io.toPrefetch.flushFromBpu.s3.valid := bpu_s3_redirect
790b92f8445Sssszwic  io.toPrefetch.flushFromBpu.s3.bits  := bpu_s3_resp.ftq_idx
791adc0b8dfSGuokai Chen  when(bpu_s3_redirect) {
792cb4f77ceSLingrui98    bpuPtr := bpu_s3_resp.ftq_idx + 1.U
793dc270d3bSJenius    copied_bpu_ptr.map(_ := bpu_s3_resp.ftq_idx + 1.U)
794cb4f77ceSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
795cb4f77ceSLingrui98    when(!isBefore(ifuPtr, bpu_s3_resp.ftq_idx)) {
796c5c5edaeSJenius      ifuPtr_write      := bpu_s3_resp.ftq_idx
797c5c5edaeSJenius      ifuPtrPlus1_write := bpu_s3_resp.ftq_idx + 1.U
7986bf9b30dSLingrui98      ifuPtrPlus2_write := bpu_s3_resp.ftq_idx + 2.U
799cb4f77ceSLingrui98    }
800b92f8445Sssszwic    when(!isBefore(pfPtr, bpu_s3_resp.ftq_idx)) {
801b92f8445Sssszwic      pfPtr_write      := bpu_s3_resp.ftq_idx
802b92f8445Sssszwic      pfPtrPlus1_write := bpu_s3_resp.ftq_idx + 1.U
803b92f8445Sssszwic    }
804cb4f77ceSLingrui98  }
805cb4f77ceSLingrui98
80609c6f1ddSLingrui98  XSError(isBefore(bpuPtr, ifuPtr) && !isFull(bpuPtr, ifuPtr), "\nifuPtr is before bpuPtr!\n")
807b92f8445Sssszwic  XSError(isBefore(bpuPtr, pfPtr) && !isFull(bpuPtr, pfPtr), "\npfPtr is before bpuPtr!\n")
8082448f137SGuokai Chen  XSError(isBefore(ifuWbPtr, commPtr) && !isFull(ifuWbPtr, commPtr), "\ncommPtr is before ifuWbPtr!\n")
80909c6f1ddSLingrui98
810cf7d6b7aSMuzi  (0 until copyNum).map(i => XSError(copied_bpu_ptr(i) =/= bpuPtr, "\ncopiedBpuPtr is different from bpuPtr!\n"))
811dc270d3bSJenius
81209c6f1ddSLingrui98  // ****************************************************************
81309c6f1ddSLingrui98  // **************************** to ifu ****************************
81409c6f1ddSLingrui98  // ****************************************************************
815f22cf846SJenius  // 0  for ifu, and 1-4 for ICache
816935edac4STang Haojin  val bpu_in_bypass_buf         = RegEnable(ftq_pc_mem.io.wdata, bpu_in_fire)
817935edac4STang Haojin  val copied_bpu_in_bypass_buf  = VecInit(Seq.fill(copyNum)(RegEnable(ftq_pc_mem.io.wdata, bpu_in_fire)))
818f56177cbSJenius  val bpu_in_bypass_buf_for_ifu = bpu_in_bypass_buf
8191c6fc24aSEaston Man  val bpu_in_bypass_ptr         = RegEnable(bpu_in_resp_ptr, bpu_in_fire)
82009c6f1ddSLingrui98  val last_cycle_to_ifu_fire    = RegNext(io.toIfu.req.fire)
821b92f8445Sssszwic  val last_cycle_to_pf_fire     = RegNext(io.toPrefetch.req.fire)
82209c6f1ddSLingrui98
8231c6fc24aSEaston Man  val copied_bpu_in_bypass_ptr      = VecInit(Seq.fill(copyNum)(RegEnable(bpu_in_resp_ptr, bpu_in_fire)))
824f56177cbSJenius  val copied_last_cycle_to_ifu_fire = VecInit(Seq.fill(copyNum)(RegNext(io.toIfu.req.fire)))
82588bc4f90SLingrui98
82609c6f1ddSLingrui98  // read pc and target
8276bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtr_w       := ifuPtr_write
8286bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtrPlus1_w  := ifuPtrPlus1_write
8296bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtrPlus2_w  := ifuPtrPlus2_write
830b92f8445Sssszwic  ftq_pc_mem.io.pfPtr_w        := pfPtr_write
831b92f8445Sssszwic  ftq_pc_mem.io.pfPtrPlus1_w   := pfPtrPlus1_write
8326bf9b30dSLingrui98  ftq_pc_mem.io.commPtr_w      := commPtr_write
8336bf9b30dSLingrui98  ftq_pc_mem.io.commPtrPlus1_w := commPtrPlus1_write
834c5c5edaeSJenius
8355ff19bd8SLingrui98  io.toIfu.req.bits.ftqIdx := ifuPtr
836f63797a4SLingrui98
837f56177cbSJenius  val toICachePcBundle               = Wire(Vec(copyNum, new Ftq_RF_Components))
838dc270d3bSJenius  val toICacheEntryToSend            = Wire(Vec(copyNum, Bool()))
8393e1dbb17SMuzi  val nextCycleToPrefetchPcBundle    = Wire(new Ftq_RF_Components)
8403e1dbb17SMuzi  val nextCycleToPrefetchEntryToSend = Wire(Bool())
8413e1dbb17SMuzi  val toPrefetchPcBundle             = RegNext(nextCycleToPrefetchPcBundle)
8423e1dbb17SMuzi  val toPrefetchEntryToSend          = RegNext(nextCycleToPrefetchEntryToSend)
843b37e4b45SLingrui98  val toIfuPcBundle                  = Wire(new Ftq_RF_Components)
844f63797a4SLingrui98  val entry_is_to_send               = WireInit(entry_fetch_status(ifuPtr.value) === f_to_send)
845f63797a4SLingrui98  val entry_ftq_offset               = WireInit(cfiIndex_vec(ifuPtr.value))
8466bf9b30dSLingrui98  val entry_next_addr                = Wire(UInt(VAddrBits.W))
847b004fa13SJenius
848f56177cbSJenius  val pc_mem_ifu_ptr_rdata   = VecInit(Seq.fill(copyNum)(RegNext(ftq_pc_mem.io.ifuPtr_rdata)))
849f56177cbSJenius  val pc_mem_ifu_plus1_rdata = VecInit(Seq.fill(copyNum)(RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata)))
850b0ed7239SLingrui98  val diff_entry_next_addr   = WireInit(update_target(ifuPtr.value)) // TODO: remove this
851f63797a4SLingrui98
852cf7d6b7aSMuzi  val copied_ifu_plus1_to_send = VecInit(Seq.fill(copyNum)(RegNext(
853cf7d6b7aSMuzi    entry_fetch_status(ifuPtrPlus1.value) === f_to_send
854cf7d6b7aSMuzi  ) || RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtrPlus1)))
855cf7d6b7aSMuzi  val copied_ifu_ptr_to_send = VecInit(Seq.fill(copyNum)(RegNext(
856cf7d6b7aSMuzi    entry_fetch_status(ifuPtr.value) === f_to_send
857cf7d6b7aSMuzi  ) || RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr)))
858dc270d3bSJenius
859f56177cbSJenius  for (i <- 0 until copyNum) {
860f56177cbSJenius    when(copied_last_cycle_bpu_in(i) && copied_bpu_in_bypass_ptr(i) === copied_ifu_ptr(i)) {
861f56177cbSJenius      toICachePcBundle(i)    := copied_bpu_in_bypass_buf(i)
862dc270d3bSJenius      toICacheEntryToSend(i) := true.B
863f56177cbSJenius    }.elsewhen(copied_last_cycle_to_ifu_fire(i)) {
864f56177cbSJenius      toICachePcBundle(i)    := pc_mem_ifu_plus1_rdata(i)
865dc270d3bSJenius      toICacheEntryToSend(i) := copied_ifu_plus1_to_send(i)
866f56177cbSJenius    }.otherwise {
867f56177cbSJenius      toICachePcBundle(i)    := pc_mem_ifu_ptr_rdata(i)
868dc270d3bSJenius      toICacheEntryToSend(i) := copied_ifu_ptr_to_send(i)
869f56177cbSJenius    }
870f56177cbSJenius  }
871f56177cbSJenius
8723e1dbb17SMuzi  // Calculate requests sent to prefetcher one cycle in advance to cut critical path
8733e1dbb17SMuzi  when(bpu_in_fire && bpu_in_resp_ptr === pfPtr_write) {
8743e1dbb17SMuzi    nextCycleToPrefetchPcBundle    := ftq_pc_mem.io.wdata
8753e1dbb17SMuzi    nextCycleToPrefetchEntryToSend := true.B
8763e1dbb17SMuzi  }.elsewhen(io.toPrefetch.req.fire) {
8773e1dbb17SMuzi    nextCycleToPrefetchPcBundle := ftq_pc_mem.io.pfPtrPlus1_rdata
8783e1dbb17SMuzi    nextCycleToPrefetchEntryToSend := entry_fetch_status(pfPtrPlus1.value) === f_to_send ||
8793e1dbb17SMuzi      last_cycle_bpu_in && bpu_in_bypass_ptr === pfPtrPlus1
880b92f8445Sssszwic  }.otherwise {
8813e1dbb17SMuzi    nextCycleToPrefetchPcBundle := ftq_pc_mem.io.pfPtr_rdata
8823e1dbb17SMuzi    nextCycleToPrefetchEntryToSend := entry_fetch_status(pfPtr.value) === f_to_send ||
8833e1dbb17SMuzi      last_cycle_bpu_in && bpu_in_bypass_ptr === pfPtr // reduce potential bubbles
884b92f8445Sssszwic  }
885b92f8445Sssszwic
886873dc383SLingrui98  // TODO: reconsider target address bypass logic
88709c6f1ddSLingrui98  when(last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) {
88888bc4f90SLingrui98    toIfuPcBundle        := bpu_in_bypass_buf_for_ifu
889f678dd91SSteve Gou    entry_is_to_send     := true.B
8906bf9b30dSLingrui98    entry_next_addr      := last_cycle_bpu_target
891f63797a4SLingrui98    entry_ftq_offset     := last_cycle_cfiIndex
892b0ed7239SLingrui98    diff_entry_next_addr := last_cycle_bpu_target // TODO: remove this
89309c6f1ddSLingrui98  }.elsewhen(last_cycle_to_ifu_fire) {
894c5c5edaeSJenius    toIfuPcBundle := RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata)
895c5c5edaeSJenius    entry_is_to_send := RegNext(entry_fetch_status(ifuPtrPlus1.value) === f_to_send) ||
896cf7d6b7aSMuzi      RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtrPlus1) // reduce potential bubbles
897cf7d6b7aSMuzi    entry_next_addr := Mux(
898cf7d6b7aSMuzi      last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtrPlus1,
89988bc4f90SLingrui98      bpu_in_bypass_buf_for_ifu.startAddr,
900cf7d6b7aSMuzi      Mux(ifuPtr === newest_entry_ptr, newest_entry_target, RegNext(ftq_pc_mem.io.ifuPtrPlus2_rdata.startAddr))
901cf7d6b7aSMuzi    ) // ifuPtr+2
902c5c5edaeSJenius  }.otherwise {
903c5c5edaeSJenius    toIfuPcBundle := RegNext(ftq_pc_mem.io.ifuPtr_rdata)
90428f2cf58SLingrui98    entry_is_to_send := RegNext(entry_fetch_status(ifuPtr.value) === f_to_send) ||
90528f2cf58SLingrui98      RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) // reduce potential bubbles
906cf7d6b7aSMuzi    entry_next_addr := Mux(
907cf7d6b7aSMuzi      last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtrPlus1,
90888bc4f90SLingrui98      bpu_in_bypass_buf_for_ifu.startAddr,
909cf7d6b7aSMuzi      Mux(ifuPtr === newest_entry_ptr, newest_entry_target, RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata.startAddr))
910cf7d6b7aSMuzi    ) // ifuPtr+1
91109c6f1ddSLingrui98  }
91209c6f1ddSLingrui98
913f678dd91SSteve Gou  io.toIfu.req.valid              := entry_is_to_send && ifuPtr =/= bpuPtr
914f63797a4SLingrui98  io.toIfu.req.bits.nextStartAddr := entry_next_addr
915f63797a4SLingrui98  io.toIfu.req.bits.ftqOffset     := entry_ftq_offset
916b37e4b45SLingrui98  io.toIfu.req.bits.fromFtqPcBundle(toIfuPcBundle)
917c5c5edaeSJenius
918c5c5edaeSJenius  io.toICache.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
919cf7d6b7aSMuzi  io.toICache.req.bits.readValid.zipWithIndex.map { case (copy, i) =>
920cf7d6b7aSMuzi    copy := toICacheEntryToSend(i) && copied_ifu_ptr(i) =/= copied_bpu_ptr(i)
921cf7d6b7aSMuzi  }
922b92f8445Sssszwic  io.toICache.req.bits.pcMemRead.zipWithIndex.foreach { case (copy, i) =>
923b92f8445Sssszwic    copy.fromFtqPcBundle(toICachePcBundle(i))
924b92f8445Sssszwic    copy.ftqIdx := ifuPtr
925b92f8445Sssszwic  }
926fbdb359dSMuzi  io.toICache.req.bits.backendException := ExceptionType.hasException(backendException) && backendPcFaultPtr === ifuPtr
927b92f8445Sssszwic
928b92f8445Sssszwic  io.toPrefetch.req.valid := toPrefetchEntryToSend && pfPtr =/= bpuPtr
929b92f8445Sssszwic  io.toPrefetch.req.bits.fromFtqPcBundle(toPrefetchPcBundle)
930b92f8445Sssszwic  io.toPrefetch.req.bits.ftqIdx  := pfPtr
931fbdb359dSMuzi  io.toPrefetch.backendException := Mux(backendPcFaultPtr === pfPtr, backendException, ExceptionType.none)
932b004fa13SJenius  // io.toICache.req.bits.bypassSelect := last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr
933b004fa13SJenius  // io.toICache.req.bits.bpuBypassWrite.zipWithIndex.map{case(bypassWrtie, i) =>
934b004fa13SJenius  //   bypassWrtie.startAddr := bpu_in_bypass_buf.tail(i).startAddr
935b004fa13SJenius  //   bypassWrtie.nextlineStart := bpu_in_bypass_buf.tail(i).nextLineAddr
936b004fa13SJenius  // }
937f22cf846SJenius
938b0ed7239SLingrui98  // TODO: remove this
939cf7d6b7aSMuzi  XSError(
940cf7d6b7aSMuzi    io.toIfu.req.valid && diff_entry_next_addr =/= entry_next_addr,
941cf7d6b7aSMuzi    p"\nifu_req_target wrong! ifuPtr: ${ifuPtr}, entry_next_addr: ${Hexadecimal(entry_next_addr)} diff_entry_next_addr: ${Hexadecimal(diff_entry_next_addr)}\n"
942cf7d6b7aSMuzi  )
943b0ed7239SLingrui98
94409c6f1ddSLingrui98  // when fall through is smaller in value than start address, there must be a false hit
945b37e4b45SLingrui98  when(toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit) {
94609c6f1ddSLingrui98    when(io.toIfu.req.fire &&
947cb4f77ceSLingrui98      !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) &&
948cf7d6b7aSMuzi      !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr)) {
94909c6f1ddSLingrui98      entry_hit_status(ifuPtr.value) := h_false_hit
950352db50aSLingrui98      // XSError(true.B, "FTB false hit by fallThroughError, startAddr: %x, fallTHru: %x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
95109c6f1ddSLingrui98    }
952cf7d6b7aSMuzi    XSDebug(
953cf7d6b7aSMuzi      true.B,
954cf7d6b7aSMuzi      "fallThruError! start:%x, fallThru:%x\n",
955cf7d6b7aSMuzi      io.toIfu.req.bits.startAddr,
956cf7d6b7aSMuzi      io.toIfu.req.bits.nextStartAddr
957cf7d6b7aSMuzi    )
95809c6f1ddSLingrui98  }
95909c6f1ddSLingrui98
960cf7d6b7aSMuzi  XSPerfAccumulate(
961cf7d6b7aSMuzi    f"fall_through_error_to_ifu",
962cf7d6b7aSMuzi    toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit &&
963cf7d6b7aSMuzi      io.toIfu.req.fire && !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) && !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr)
964cf7d6b7aSMuzi  )
965a60a2901SLingrui98
96609c6f1ddSLingrui98  val ifu_req_should_be_flushed =
967cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage2(io.toIfu.req.bits.ftqIdx) ||
968cb4f77ceSLingrui98      io.toIfu.flushFromBpu.shouldFlushByStage3(io.toIfu.req.bits.ftqIdx)
96909c6f1ddSLingrui98
97009c6f1ddSLingrui98  when(io.toIfu.req.fire && !ifu_req_should_be_flushed) {
97109c6f1ddSLingrui98    entry_fetch_status(ifuPtr.value) := f_sent
97209c6f1ddSLingrui98  }
97309c6f1ddSLingrui98
97409c6f1ddSLingrui98  // *********************************************************************
97509c6f1ddSLingrui98  // **************************** wb from ifu ****************************
97609c6f1ddSLingrui98  // *********************************************************************
97709c6f1ddSLingrui98  val pdWb         = io.fromIfu.pdWb
97809c6f1ddSLingrui98  val pds          = pdWb.bits.pd
97909c6f1ddSLingrui98  val ifu_wb_valid = pdWb.valid
98009c6f1ddSLingrui98  val ifu_wb_idx   = pdWb.bits.ftqIdx.value
98109c6f1ddSLingrui98  // read ports:                                                         commit update
982cf7d6b7aSMuzi  val ftq_pd_mem =
983cf7d6b7aSMuzi    Module(new SyncDataModuleTemplate(new Ftq_pd_Entry, FtqSize, FtqRedirectAheadNum + 1, 1, hasRen = true))
98409c6f1ddSLingrui98  ftq_pd_mem.io.wen(0)   := ifu_wb_valid
98509c6f1ddSLingrui98  ftq_pd_mem.io.waddr(0) := pdWb.bits.ftqIdx.value
98609c6f1ddSLingrui98  ftq_pd_mem.io.wdata(0).fromPdWb(pdWb.bits)
98709c6f1ddSLingrui98
98809c6f1ddSLingrui98  val hit_pd_valid       = entry_hit_status(ifu_wb_idx) === h_hit && ifu_wb_valid
98909c6f1ddSLingrui98  val hit_pd_mispred     = hit_pd_valid && pdWb.bits.misOffset.valid
99009c6f1ddSLingrui98  val hit_pd_mispred_reg = RegNext(hit_pd_mispred, init = false.B)
991005e809bSJiuyang Liu  val pd_reg             = RegEnable(pds, pdWb.valid)
992005e809bSJiuyang Liu  val start_pc_reg       = RegEnable(pdWb.bits.pc(0), pdWb.valid)
993005e809bSJiuyang Liu  val wb_idx_reg         = RegEnable(ifu_wb_idx, pdWb.valid)
99409c6f1ddSLingrui98
99509c6f1ddSLingrui98  when(ifu_wb_valid) {
99609c6f1ddSLingrui98    val comm_stq_wen = VecInit(pds.map(_.valid).zip(pdWb.bits.instrRange).map {
99709c6f1ddSLingrui98      case (v, inRange) => v && inRange
99809c6f1ddSLingrui98    })
9991c6fc24aSEaston Man    commitStateQueueEnable(ifu_wb_idx) := true.B
10001c6fc24aSEaston Man    (commitStateQueueNext(ifu_wb_idx) zip comm_stq_wen).map {
10011c6fc24aSEaston Man      case (qe, v) => when(v) {
100291346769SMuzi          qe := c_toCommit
10031c6fc24aSEaston Man        }
100409c6f1ddSLingrui98    }
100509c6f1ddSLingrui98  }
100609c6f1ddSLingrui98
1007c5c5edaeSJenius  when(ifu_wb_valid) {
1008c5c5edaeSJenius    ifuWbPtr_write := ifuWbPtr + 1.U
1009c5c5edaeSJenius  }
101009c6f1ddSLingrui98
1011f21bbcb2SGuokai Chen  XSError(ifu_wb_valid && isAfter(pdWb.bits.ftqIdx, ifuPtr), "IFU returned a predecode before its req, check IFU")
1012f21bbcb2SGuokai Chen
10131c6fc24aSEaston Man  ftb_entry_mem.io.ren.get.head := ifu_wb_valid
101409c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head   := ifu_wb_idx
101509c6f1ddSLingrui98  val has_false_hit = WireInit(false.B)
101609c6f1ddSLingrui98  when(RegNext(hit_pd_valid)) {
101709c6f1ddSLingrui98    // check for false hit
101809c6f1ddSLingrui98    val pred_ftb_entry = ftb_entry_mem.io.rdata.head
1019eeb5ff92SLingrui98    val brSlots        = pred_ftb_entry.brSlots
1020eeb5ff92SLingrui98    val tailSlot       = pred_ftb_entry.tailSlot
102109c6f1ddSLingrui98    // we check cfis that bpu predicted
102209c6f1ddSLingrui98
1023eeb5ff92SLingrui98    // bpu predicted branches but denied by predecode
1024eeb5ff92SLingrui98    val br_false_hit =
1025eeb5ff92SLingrui98      brSlots.map {
1026eeb5ff92SLingrui98        s => s.valid && !(pd_reg(s.offset).valid && pd_reg(s.offset).isBr)
1027eeb5ff92SLingrui98      }.reduce(_ || _) ||
1028b37e4b45SLingrui98        (tailSlot.valid && pred_ftb_entry.tailSlot.sharing &&
1029eeb5ff92SLingrui98          !(pd_reg(tailSlot.offset).valid && pd_reg(tailSlot.offset).isBr))
1030eeb5ff92SLingrui98
1031eeb5ff92SLingrui98    val jmpOffset = tailSlot.offset
103209c6f1ddSLingrui98    val jmp_pd    = pd_reg(jmpOffset)
103309c6f1ddSLingrui98    val jal_false_hit = pred_ftb_entry.jmpValid &&
103409c6f1ddSLingrui98      ((pred_ftb_entry.isJal && !(jmp_pd.valid && jmp_pd.isJal)) ||
103509c6f1ddSLingrui98        (pred_ftb_entry.isJalr && !(jmp_pd.valid && jmp_pd.isJalr)) ||
103609c6f1ddSLingrui98        (pred_ftb_entry.isCall && !(jmp_pd.valid && jmp_pd.isCall)) ||
1037cf7d6b7aSMuzi        (pred_ftb_entry.isRet && !(jmp_pd.valid && jmp_pd.isRet)))
103809c6f1ddSLingrui98
103909c6f1ddSLingrui98    has_false_hit := br_false_hit || jal_false_hit || hit_pd_mispred_reg
104065fddcf0Szoujr    XSDebug(has_false_hit, "FTB false hit by br or jal or hit_pd, startAddr: %x\n", pdWb.bits.pc(0))
104165fddcf0Szoujr
1042352db50aSLingrui98    // assert(!has_false_hit)
104309c6f1ddSLingrui98  }
104409c6f1ddSLingrui98
104509c6f1ddSLingrui98  when(has_false_hit) {
104609c6f1ddSLingrui98    entry_hit_status(wb_idx_reg) := h_false_hit
104709c6f1ddSLingrui98  }
104809c6f1ddSLingrui98
104909c6f1ddSLingrui98  // *******************************************************************************
105009c6f1ddSLingrui98  // **************************** redirect from backend ****************************
105109c6f1ddSLingrui98  // *******************************************************************************
105209c6f1ddSLingrui98
105309c6f1ddSLingrui98  // redirect read cfiInfo, couples to redirectGen s2
105495a47398SGao-Zeyu  // ftqIdxAhead(0-3) => ftq_redirect_mem(1-4), reuse ftq_redirect_mem(1)
1055bace178aSGao-Zeyu  val ftq_redirect_rdata = Wire(Vec(FtqRedirectAheadNum, new Ftq_Redirect_SRAMEntry))
1056deb3a97eSGao-Zeyu  val ftb_redirect_rdata = Wire(Vec(FtqRedirectAheadNum, new FTBEntry_FtqMem))
1057c776f0d5Smy-mayfly
1058c776f0d5Smy-mayfly  val ftq_pd_rdata = Wire(Vec(FtqRedirectAheadNum, new Ftq_pd_Entry))
105995a47398SGao-Zeyu  for (i <- 1 until FtqRedirectAheadNum) {
106095a47398SGao-Zeyu    ftq_redirect_mem.io.ren.get(i + IfuRedirectNum) := ftqIdxAhead(i).valid
106195a47398SGao-Zeyu    ftq_redirect_mem.io.raddr(i + IfuRedirectNum)   := ftqIdxAhead(i).bits.value
106295a47398SGao-Zeyu    ftb_entry_mem.io.ren.get(i + IfuRedirectNum)    := ftqIdxAhead(i).valid
106395a47398SGao-Zeyu    ftb_entry_mem.io.raddr(i + IfuRedirectNum)      := ftqIdxAhead(i).bits.value
1064c776f0d5Smy-mayfly
1065c776f0d5Smy-mayfly    ftq_pd_mem.io.ren.get(i) := ftqIdxAhead(i).valid
1066c776f0d5Smy-mayfly    ftq_pd_mem.io.raddr(i)   := ftqIdxAhead(i).bits.value
10679342624fSGao-Zeyu  }
106895a47398SGao-Zeyu  ftq_redirect_mem.io.ren.get(IfuRedirectNum) := Mux(aheadValid, ftqIdxAhead(0).valid, backendRedirect.valid)
1069cf7d6b7aSMuzi  ftq_redirect_mem.io.raddr(IfuRedirectNum) := Mux(
1070cf7d6b7aSMuzi    aheadValid,
1071cf7d6b7aSMuzi    ftqIdxAhead(0).bits.value,
1072cf7d6b7aSMuzi    backendRedirect.bits.ftqIdx.value
1073cf7d6b7aSMuzi  )
107495a47398SGao-Zeyu  ftb_entry_mem.io.ren.get(IfuRedirectNum) := Mux(aheadValid, ftqIdxAhead(0).valid, backendRedirect.valid)
1075cf7d6b7aSMuzi  ftb_entry_mem.io.raddr(IfuRedirectNum) := Mux(
1076cf7d6b7aSMuzi    aheadValid,
1077cf7d6b7aSMuzi    ftqIdxAhead(0).bits.value,
1078cf7d6b7aSMuzi    backendRedirect.bits.ftqIdx.value
1079cf7d6b7aSMuzi  )
1080bace178aSGao-Zeyu
1081c776f0d5Smy-mayfly  ftq_pd_mem.io.ren.get(0) := Mux(aheadValid, ftqIdxAhead(0).valid, backendRedirect.valid)
1082c776f0d5Smy-mayfly  ftq_pd_mem.io.raddr(0)   := Mux(aheadValid, ftqIdxAhead(0).bits.value, backendRedirect.bits.ftqIdx.value)
1083c776f0d5Smy-mayfly
1084bace178aSGao-Zeyu  for (i <- 0 until FtqRedirectAheadNum) {
108595a47398SGao-Zeyu    ftq_redirect_rdata(i) := ftq_redirect_mem.io.rdata(i + IfuRedirectNum)
108695a47398SGao-Zeyu    ftb_redirect_rdata(i) := ftb_entry_mem.io.rdata(i + IfuRedirectNum)
1087c776f0d5Smy-mayfly
1088c776f0d5Smy-mayfly    ftq_pd_rdata(i) := ftq_pd_mem.io.rdata(i)
1089bace178aSGao-Zeyu  }
1090cf7d6b7aSMuzi  val stage3CfiInfo =
1091cf7d6b7aSMuzi    Mux(realAhdValid, Mux1H(ftqIdxSelOH, ftq_redirect_rdata), ftq_redirect_mem.io.rdata(IfuRedirectNum))
1092c776f0d5Smy-mayfly  val stage3PdInfo       = Mux(realAhdValid, Mux1H(ftqIdxSelOH, ftq_pd_rdata), ftq_pd_mem.io.rdata(0))
109309c6f1ddSLingrui98  val backendRedirectCfi = fromBackendRedirect.bits.cfiUpdate
109409c6f1ddSLingrui98  backendRedirectCfi.fromFtqRedirectSram(stage3CfiInfo)
1095c776f0d5Smy-mayfly  backendRedirectCfi.pd := stage3PdInfo.toPd(fromBackendRedirect.bits.ftqOffset)
109609c6f1ddSLingrui98
109795a47398SGao-Zeyu  val r_ftb_entry = Mux(realAhdValid, Mux1H(ftqIdxSelOH, ftb_redirect_rdata), ftb_entry_mem.io.rdata(IfuRedirectNum))
109809c6f1ddSLingrui98  val r_ftqOffset = fromBackendRedirect.bits.ftqOffset
109909c6f1ddSLingrui98
1100d2b20d1aSTang Haojin  backendRedirectCfi.br_hit := r_ftb_entry.brIsSaved(r_ftqOffset)
1101d2b20d1aSTang Haojin  backendRedirectCfi.jr_hit := r_ftb_entry.isJalr && r_ftb_entry.tailSlot.offset === r_ftqOffset
11023711cf36S小造xu_zh  // FIXME: not portable
1103abdc3a32Sxu_zh  val sc_disagree = stage3CfiInfo.sc_disagree.getOrElse(VecInit(Seq.fill(numBr)(false.B)))
1104cf7d6b7aSMuzi  backendRedirectCfi.sc_hit := backendRedirectCfi.br_hit && Mux(
1105cf7d6b7aSMuzi    r_ftb_entry.brSlots(0).offset === r_ftqOffset,
1106cf7d6b7aSMuzi    sc_disagree(0),
1107cf7d6b7aSMuzi    sc_disagree(1)
1108cf7d6b7aSMuzi  )
1109d2b20d1aSTang Haojin
111009c6f1ddSLingrui98  when(entry_hit_status(fromBackendRedirect.bits.ftqIdx.value) === h_hit) {
111109c6f1ddSLingrui98    backendRedirectCfi.shift := PopCount(r_ftb_entry.getBrMaskByOffset(r_ftqOffset)) +&
111209c6f1ddSLingrui98      (backendRedirectCfi.pd.isBr && !r_ftb_entry.brIsSaved(r_ftqOffset) &&
1113eeb5ff92SLingrui98        !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
111409c6f1ddSLingrui98
111509c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr && (r_ftb_entry.brIsSaved(r_ftqOffset) ||
1116eeb5ff92SLingrui98      !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
111709c6f1ddSLingrui98  }.otherwise {
111809c6f1ddSLingrui98    backendRedirectCfi.shift       := (backendRedirectCfi.pd.isBr && backendRedirectCfi.taken).asUInt
111909c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr.asUInt
112009c6f1ddSLingrui98  }
112109c6f1ddSLingrui98
112209c6f1ddSLingrui98  // ***************************************************************************
112309c6f1ddSLingrui98  // **************************** redirect from ifu ****************************
112409c6f1ddSLingrui98  // ***************************************************************************
1125d2b20d1aSTang Haojin  val fromIfuRedirect = WireInit(0.U.asTypeOf(Valid(new BranchPredictionRedirect)))
112609c6f1ddSLingrui98  fromIfuRedirect.valid              := pdWb.valid && pdWb.bits.misOffset.valid && !backendFlush
112709c6f1ddSLingrui98  fromIfuRedirect.bits.ftqIdx        := pdWb.bits.ftqIdx
112809c6f1ddSLingrui98  fromIfuRedirect.bits.ftqOffset     := pdWb.bits.misOffset.bits
112909c6f1ddSLingrui98  fromIfuRedirect.bits.level         := RedirectLevel.flushAfter
1130d2b20d1aSTang Haojin  fromIfuRedirect.bits.BTBMissBubble := true.B
1131d2b20d1aSTang Haojin  fromIfuRedirect.bits.debugIsMemVio := false.B
1132d2b20d1aSTang Haojin  fromIfuRedirect.bits.debugIsCtrl   := false.B
113309c6f1ddSLingrui98
113409c6f1ddSLingrui98  val ifuRedirectCfiUpdate = fromIfuRedirect.bits.cfiUpdate
113509c6f1ddSLingrui98  ifuRedirectCfiUpdate.pc        := pdWb.bits.pc(pdWb.bits.misOffset.bits)
113609c6f1ddSLingrui98  ifuRedirectCfiUpdate.pd        := pdWb.bits.pd(pdWb.bits.misOffset.bits)
113709c6f1ddSLingrui98  ifuRedirectCfiUpdate.predTaken := cfiIndex_vec(pdWb.bits.ftqIdx.value).valid
113809c6f1ddSLingrui98  ifuRedirectCfiUpdate.target    := pdWb.bits.target
113909c6f1ddSLingrui98  ifuRedirectCfiUpdate.taken     := pdWb.bits.cfiOffset.valid
114009c6f1ddSLingrui98  ifuRedirectCfiUpdate.isMisPred := pdWb.bits.misOffset.valid
114109c6f1ddSLingrui98
11421c6fc24aSEaston Man  val ifuRedirectReg   = RegNextWithEnable(fromIfuRedirect, hasInit = true)
114309c6f1ddSLingrui98  val ifuRedirectToBpu = WireInit(ifuRedirectReg)
114409c6f1ddSLingrui98  ifuFlush := fromIfuRedirect.valid || ifuRedirectToBpu.valid
114509c6f1ddSLingrui98
114616a171eeSEaston Man  ftq_redirect_mem.io.ren.get.head := fromIfuRedirect.valid
1147deb3a97eSGao-Zeyu  ftq_redirect_mem.io.raddr.head   := fromIfuRedirect.bits.ftqIdx.value
114809c6f1ddSLingrui98
114909c6f1ddSLingrui98  val toBpuCfi = ifuRedirectToBpu.bits.cfiUpdate
1150deb3a97eSGao-Zeyu  toBpuCfi.fromFtqRedirectSram(ftq_redirect_mem.io.rdata.head)
1151f1267a13SEaston Man  when(ifuRedirectReg.bits.cfiUpdate.pd.isRet && ifuRedirectReg.bits.cfiUpdate.pd.valid) {
1152c89b4642SGuokai Chen    toBpuCfi.target := toBpuCfi.topAddr
115309c6f1ddSLingrui98  }
115409c6f1ddSLingrui98
1155d2b20d1aSTang Haojin  when(ifuRedirectReg.valid) {
1156d2b20d1aSTang Haojin    ifuRedirected(ifuRedirectReg.bits.ftqIdx.value) := true.B
1157d2b20d1aSTang Haojin  }.elsewhen(RegNext(pdWb.valid)) {
1158d2b20d1aSTang Haojin    // if pdWb and no redirect, set to false
1159d2b20d1aSTang Haojin    ifuRedirected(last_cycle_bpu_in_ptr.value) := false.B
1160d2b20d1aSTang Haojin  }
1161d2b20d1aSTang Haojin
11626022c595SsinceforYy  // **********************************************************************
11636022c595SsinceforYy  // ***************************** to backend *****************************
11646022c595SsinceforYy  // **********************************************************************
11656022c595SsinceforYy  // to backend pc mem / target
11666022c595SsinceforYy  io.toBackend.pc_mem_wen   := RegNext(last_cycle_bpu_in)
1167f533cba7SHuSipeng  io.toBackend.pc_mem_waddr := RegEnable(last_cycle_bpu_in_idx, last_cycle_bpu_in)
11686022c595SsinceforYy  io.toBackend.pc_mem_wdata := RegEnable(bpu_in_bypass_buf_for_ifu, last_cycle_bpu_in)
11696022c595SsinceforYy
11706022c595SsinceforYy  // num cycle is fixed
11716022c595SsinceforYy  val newest_entry_en: Bool = RegNext(last_cycle_bpu_in || backendRedirect.valid || ifuRedirectToBpu.valid)
11726022c595SsinceforYy  io.toBackend.newest_entry_en     := RegNext(newest_entry_en)
11736022c595SsinceforYy  io.toBackend.newest_entry_ptr    := RegEnable(newest_entry_ptr, newest_entry_en)
11746022c595SsinceforYy  io.toBackend.newest_entry_target := RegEnable(newest_entry_target, newest_entry_en)
11756022c595SsinceforYy
117609c6f1ddSLingrui98  // *********************************************************************
117709c6f1ddSLingrui98  // **************************** wb from exu ****************************
117809c6f1ddSLingrui98  // *********************************************************************
117909c6f1ddSLingrui98
1180d2b20d1aSTang Haojin  backendRedirect.valid := io.fromBackend.redirect.valid
1181d2b20d1aSTang Haojin  backendRedirect.bits.connectRedirect(io.fromBackend.redirect.bits)
1182d2b20d1aSTang Haojin  backendRedirect.bits.BTBMissBubble := false.B
1183d2b20d1aSTang Haojin
118409c6f1ddSLingrui98  def extractRedirectInfo(wb: Valid[Redirect]) = {
11856bf9b30dSLingrui98    val ftqPtr    = wb.bits.ftqIdx
118609c6f1ddSLingrui98    val ftqOffset = wb.bits.ftqOffset
118709c6f1ddSLingrui98    val taken     = wb.bits.cfiUpdate.taken
118809c6f1ddSLingrui98    val mispred   = wb.bits.cfiUpdate.isMisPred
11896bf9b30dSLingrui98    (wb.valid, ftqPtr, ftqOffset, taken, mispred)
119009c6f1ddSLingrui98  }
119109c6f1ddSLingrui98
119209c6f1ddSLingrui98  // fix mispredict entry
119309c6f1ddSLingrui98  val lastIsMispredict = RegNext(
1194cf7d6b7aSMuzi    backendRedirect.valid && backendRedirect.bits.level === RedirectLevel.flushAfter,
1195cf7d6b7aSMuzi    init = false.B
119609c6f1ddSLingrui98  )
119709c6f1ddSLingrui98
119809c6f1ddSLingrui98  def updateCfiInfo(redirect: Valid[Redirect], isBackend: Boolean = true) = {
11996bf9b30dSLingrui98    val (r_valid, r_ptr, r_offset, r_taken, r_mispred) = extractRedirectInfo(redirect)
12006bf9b30dSLingrui98    val r_idx                                          = r_ptr.value
120109c6f1ddSLingrui98    val cfiIndex_bits_wen                              = r_valid && r_taken && r_offset < cfiIndex_vec(r_idx).bits
120209c6f1ddSLingrui98    val cfiIndex_valid_wen                             = r_valid && r_offset === cfiIndex_vec(r_idx).bits
120309c6f1ddSLingrui98    when(cfiIndex_bits_wen || cfiIndex_valid_wen) {
120409c6f1ddSLingrui98      cfiIndex_vec(r_idx).valid := cfiIndex_bits_wen || cfiIndex_valid_wen && r_taken
12053f88c020SGuokai Chen    }.elsewhen(r_valid && !r_taken && r_offset =/= cfiIndex_vec(r_idx).bits) {
12063f88c020SGuokai Chen      cfiIndex_vec(r_idx).valid := false.B
120709c6f1ddSLingrui98    }
120809c6f1ddSLingrui98    when(cfiIndex_bits_wen) {
120909c6f1ddSLingrui98      cfiIndex_vec(r_idx).bits := r_offset
121009c6f1ddSLingrui98    }
12111c6fc24aSEaston Man    newest_entry_target_modified := true.B
12126bf9b30dSLingrui98    newest_entry_target          := redirect.bits.cfiUpdate.target
12131c6fc24aSEaston Man    newest_entry_ptr_modified    := true.B
1214873dc383SLingrui98    newest_entry_ptr             := r_ptr
12151c6fc24aSEaston Man
1216b0ed7239SLingrui98    update_target(r_idx) := redirect.bits.cfiUpdate.target // TODO: remove this
121709c6f1ddSLingrui98    if (isBackend) {
121809c6f1ddSLingrui98      mispredict_vec(r_idx)(r_offset) := r_mispred
121909c6f1ddSLingrui98    }
122009c6f1ddSLingrui98  }
122109c6f1ddSLingrui98
1222bace178aSGao-Zeyu  when(fromBackendRedirect.valid) {
1223bace178aSGao-Zeyu    updateCfiInfo(fromBackendRedirect)
122409c6f1ddSLingrui98  }.elsewhen(ifuRedirectToBpu.valid) {
122509c6f1ddSLingrui98    updateCfiInfo(ifuRedirectToBpu, isBackend = false)
122609c6f1ddSLingrui98  }
122709c6f1ddSLingrui98
1228bace178aSGao-Zeyu  when(fromBackendRedirect.valid) {
1229bace178aSGao-Zeyu    when(fromBackendRedirect.bits.ControlRedirectBubble) {
1230d2b20d1aSTang Haojin      when(fromBackendRedirect.bits.ControlBTBMissBubble) {
1231d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.BTBMissBubble.id)                  := true.B
1232d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
1233d2b20d1aSTang Haojin      }.elsewhen(fromBackendRedirect.bits.TAGEMissBubble) {
1234d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.TAGEMissBubble.id)                  := true.B
1235d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.TAGEMissBubble.id) := true.B
1236d2b20d1aSTang Haojin      }.elsewhen(fromBackendRedirect.bits.SCMissBubble) {
1237d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.SCMissBubble.id)                  := true.B
1238d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.SCMissBubble.id) := true.B
1239d2b20d1aSTang Haojin      }.elsewhen(fromBackendRedirect.bits.ITTAGEMissBubble) {
1240d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.ITTAGEMissBubble.id)                  := true.B
1241d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
1242d2b20d1aSTang Haojin      }.elsewhen(fromBackendRedirect.bits.RASMissBubble) {
1243d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.RASMissBubble.id)                  := true.B
1244d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.RASMissBubble.id) := true.B
1245d2b20d1aSTang Haojin      }
1246d2b20d1aSTang Haojin
12479342624fSGao-Zeyu    }.elsewhen(backendRedirect.bits.MemVioRedirectBubble) {
1248d2b20d1aSTang Haojin      topdown_stage.reasons(TopDownCounters.MemVioRedirectBubble.id)                  := true.B
1249d2b20d1aSTang Haojin      io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
1250d2b20d1aSTang Haojin    }.otherwise {
1251d2b20d1aSTang Haojin      topdown_stage.reasons(TopDownCounters.OtherRedirectBubble.id)                  := true.B
1252d2b20d1aSTang Haojin      io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
1253d2b20d1aSTang Haojin    }
1254d2b20d1aSTang Haojin  }.elsewhen(ifuRedirectReg.valid) {
1255d2b20d1aSTang Haojin    topdown_stage.reasons(TopDownCounters.BTBMissBubble.id)                  := true.B
1256d2b20d1aSTang Haojin    io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
1257d2b20d1aSTang Haojin  }
1258d2b20d1aSTang Haojin
1259d2b20d1aSTang Haojin  io.ControlBTBMissBubble := fromBackendRedirect.bits.ControlBTBMissBubble
1260d2b20d1aSTang Haojin  io.TAGEMissBubble       := fromBackendRedirect.bits.TAGEMissBubble
1261d2b20d1aSTang Haojin  io.SCMissBubble         := fromBackendRedirect.bits.SCMissBubble
1262d2b20d1aSTang Haojin  io.ITTAGEMissBubble     := fromBackendRedirect.bits.ITTAGEMissBubble
1263d2b20d1aSTang Haojin  io.RASMissBubble        := fromBackendRedirect.bits.RASMissBubble
1264d2b20d1aSTang Haojin
126509c6f1ddSLingrui98  // ***********************************************************************************
126609c6f1ddSLingrui98  // **************************** flush ptr and state queue ****************************
126709c6f1ddSLingrui98  // ***********************************************************************************
126809c6f1ddSLingrui98
1269df5b4b8eSYinan Xu  val redirectVec = VecInit(backendRedirect, fromIfuRedirect)
127009c6f1ddSLingrui98
127109c6f1ddSLingrui98  // when redirect, we should reset ptrs and status queues
1272b92f8445Sssszwic  io.icacheFlush := redirectVec.map(r => r.valid).reduce(_ || _)
1273b92f8445Sssszwic  XSPerfAccumulate("icacheFlushFromBackend", backendRedirect.valid)
1274b92f8445Sssszwic  XSPerfAccumulate("icacheFlushFromIFU", fromIfuRedirect.valid)
127509c6f1ddSLingrui98  when(redirectVec.map(r => r.valid).reduce(_ || _)) {
1276cf7d6b7aSMuzi    val r                          = PriorityMux(redirectVec.map(r => r.valid -> r.bits))
127709c6f1ddSLingrui98    val notIfu                     = redirectVec.dropRight(1).map(r => r.valid).reduce(_ || _)
12782f4a3aa4SLingrui98    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
127909c6f1ddSLingrui98    val next                       = idx + 1.U
128009c6f1ddSLingrui98    bpuPtr := next
1281dc270d3bSJenius    copied_bpu_ptr.map(_ := next)
1282c5c5edaeSJenius    ifuPtr_write      := next
1283c5c5edaeSJenius    ifuWbPtr_write    := next
1284c5c5edaeSJenius    ifuPtrPlus1_write := idx + 2.U
12856bf9b30dSLingrui98    ifuPtrPlus2_write := idx + 3.U
1286b92f8445Sssszwic    pfPtr_write       := next
1287b92f8445Sssszwic    pfPtrPlus1_write  := idx + 2.U
12883f88c020SGuokai Chen  }
12893f88c020SGuokai Chen  when(RegNext(redirectVec.map(r => r.valid).reduce(_ || _))) {
1290cf7d6b7aSMuzi    val r                          = PriorityMux(redirectVec.map(r => r.valid -> r.bits))
12913f88c020SGuokai Chen    val notIfu                     = redirectVec.dropRight(1).map(r => r.valid).reduce(_ || _)
12923f88c020SGuokai Chen    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
12933f88c020SGuokai Chen    when(RegNext(notIfu)) {
12941c6fc24aSEaston Man      commitStateQueueEnable(RegNext(idx.value)) := true.B
1295cf7d6b7aSMuzi      commitStateQueueNext(RegNext(idx.value)).zipWithIndex.foreach { case (s, i) =>
129691346769SMuzi        when(i.U > RegNext(offset)) {
129791346769SMuzi          s := c_empty
129891346769SMuzi        }
129991346769SMuzi        when(i.U === RegNext(offset) && RegNext(flushItSelf)) {
130091346769SMuzi          s := c_flushed
130109c6f1ddSLingrui98        }
130209c6f1ddSLingrui98      }
130309c6f1ddSLingrui98    }
1304cf7d6b7aSMuzi  }
13053f88c020SGuokai Chen
130609c6f1ddSLingrui98  // only the valid bit is actually needed
1307df5b4b8eSYinan Xu  io.toIfu.redirect.bits    := backendRedirect.bits
130809c6f1ddSLingrui98  io.toIfu.redirect.valid   := stage2Flush
1309d2b20d1aSTang Haojin  io.toIfu.topdown_redirect := fromBackendRedirect
131009c6f1ddSLingrui98
131109c6f1ddSLingrui98  // commit
13129aca92b9SYinan Xu  for (c <- io.fromBackend.rob_commits) {
131309c6f1ddSLingrui98    when(c.valid) {
13141c6fc24aSEaston Man      commitStateQueueEnable(c.bits.ftqIdx.value)                 := true.B
131591346769SMuzi      commitStateQueueNext(c.bits.ftqIdx.value)(c.bits.ftqOffset) := c_committed
131688825c5cSYinan Xu      // TODO: remove this
131788825c5cSYinan Xu      // For instruction fusions, we also update the next instruction
1318c3abb8b6SYinan Xu      when(c.bits.commitType === 4.U) {
131991346769SMuzi        commitStateQueueNext(c.bits.ftqIdx.value)(c.bits.ftqOffset + 1.U) := c_committed
1320c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 5.U) {
132191346769SMuzi        commitStateQueueNext(c.bits.ftqIdx.value)(c.bits.ftqOffset + 2.U) := c_committed
1322c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 6.U) {
132388825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
13241c6fc24aSEaston Man        commitStateQueueEnable(index)  := true.B
132591346769SMuzi        commitStateQueueNext(index)(0) := c_committed
1326c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 7.U) {
132788825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
13281c6fc24aSEaston Man        commitStateQueueEnable(index)  := true.B
132991346769SMuzi        commitStateQueueNext(index)(1) := c_committed
133088825c5cSYinan Xu      }
133109c6f1ddSLingrui98    }
133209c6f1ddSLingrui98  }
133309c6f1ddSLingrui98
133409c6f1ddSLingrui98  // ****************************************************************
133509c6f1ddSLingrui98  // **************************** to bpu ****************************
133609c6f1ddSLingrui98  // ****************************************************************
133709c6f1ddSLingrui98
1338fd3aa057SYuandongliang  io.toBpu.redirctFromIFU := ifuRedirectToBpu.valid
133951981c77SbugGenerator  io.toBpu.redirect       := Mux(fromBackendRedirect.valid, fromBackendRedirect, ifuRedirectToBpu)
1340209a4cafSSteve Gou  val dummy_s1_pred_cycle_vec = VecInit(List.tabulate(FtqSize)(_ => 0.U(64.W)))
1341cf7d6b7aSMuzi  val redirect_latency =
1342cf7d6b7aSMuzi    GTimer() - pred_s1_cycle.getOrElse(dummy_s1_pred_cycle_vec)(io.toBpu.redirect.bits.ftqIdx.value) + 1.U
1343209a4cafSSteve Gou  XSPerfHistogram("backend_redirect_latency", redirect_latency, fromBackendRedirect.valid, 0, 60, 1)
1344cf7d6b7aSMuzi  XSPerfHistogram(
1345cf7d6b7aSMuzi    "ifu_redirect_latency",
1346cf7d6b7aSMuzi    redirect_latency,
1347cf7d6b7aSMuzi    !fromBackendRedirect.valid && ifuRedirectToBpu.valid,
1348cf7d6b7aSMuzi    0,
1349cf7d6b7aSMuzi    60,
1350cf7d6b7aSMuzi    1
1351cf7d6b7aSMuzi  )
135209c6f1ddSLingrui98
1353cf7d6b7aSMuzi  XSError(
1354cf7d6b7aSMuzi    io.toBpu.redirect.valid && isBefore(io.toBpu.redirect.bits.ftqIdx, commPtr),
1355cf7d6b7aSMuzi    "Ftq received a redirect after its commit, check backend or replay"
1356cf7d6b7aSMuzi  )
135709c6f1ddSLingrui98
135802f21c16SLingrui98  val may_have_stall_from_bpu = Wire(Bool())
135902f21c16SLingrui98  val bpu_ftb_update_stall    = RegInit(0.U(2.W)) // 2-cycle stall, so we need 3 states
136002f21c16SLingrui98  may_have_stall_from_bpu := bpu_ftb_update_stall =/= 0.U
13619230e379SMuzi
13629230e379SMuzi  val validInstructions       = commitStateQueueReg(commPtr.value).map(s => s === c_toCommit || s === c_committed)
13639230e379SMuzi  val lastInstructionStatus   = PriorityMux(validInstructions.reverse.zip(commitStateQueueReg(commPtr.value).reverse))
13649230e379SMuzi  val firstInstructionFlushed = commitStateQueueReg(commPtr.value)(0) === c_flushed
13659230e379SMuzi  canCommit := commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
13669230e379SMuzi    (isAfter(robCommPtr, commPtr) ||
13679230e379SMuzi      validInstructions.reduce(_ || _) && lastInstructionStatus === c_committed)
13689230e379SMuzi  val canMoveCommPtr = commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
13699230e379SMuzi    (isAfter(robCommPtr, commPtr) ||
13709230e379SMuzi      validInstructions.reduce(_ || _) && lastInstructionStatus === c_committed ||
13719230e379SMuzi      firstInstructionFlushed)
137291346769SMuzi
137391346769SMuzi  when(io.fromBackend.rob_commits.map(_.valid).reduce(_ | _)) {
1374cf7d6b7aSMuzi    robCommPtr_write := ParallelPriorityMux(
1375cf7d6b7aSMuzi      io.fromBackend.rob_commits.map(_.valid).reverse,
1376cf7d6b7aSMuzi      io.fromBackend.rob_commits.map(_.bits.ftqIdx).reverse
1377cf7d6b7aSMuzi    )
13789230e379SMuzi  }.elsewhen(isAfter(commPtr, robCommPtr)) {
137991346769SMuzi    robCommPtr_write := commPtr
138091346769SMuzi  }.otherwise {
138191346769SMuzi    robCommPtr_write := robCommPtr
138291346769SMuzi  }
138309c6f1ddSLingrui98
1384ba5ba1dcSmy-mayfly  /**
1385ba5ba1dcSmy-mayfly    *************************************************************************************
1386ba5ba1dcSmy-mayfly    * MMIO instruction fetch is allowed only if MMIO is the oldest instruction.
1387ba5ba1dcSmy-mayfly    *************************************************************************************
1388ba5ba1dcSmy-mayfly    */
13891d1e6d4dSJenius  val mmioReadPtr = io.mmioCommitRead.mmioFtqPtr
13909230e379SMuzi  val mmioLastCommit = isAfter(commPtr, mmioReadPtr) ||
13919230e379SMuzi    commPtr === mmioReadPtr && validInstructions.reduce(_ || _) && lastInstructionStatus === c_committed
13921d1e6d4dSJenius  io.mmioCommitRead.mmioLastCommit := RegNext(mmioLastCommit)
13931d1e6d4dSJenius
139409c6f1ddSLingrui98  // commit reads
1395c5c5edaeSJenius  val commit_pc_bundle = RegNext(ftq_pc_mem.io.commPtr_rdata)
139681101dc4SLingrui98  val commit_target =
1397cf7d6b7aSMuzi    Mux(
1398cf7d6b7aSMuzi      RegNext(commPtr === newest_entry_ptr),
13991c6fc24aSEaston Man      RegEnable(newest_entry_target, newest_entry_target_modified),
1400cf7d6b7aSMuzi      RegNext(ftq_pc_mem.io.commPtrPlus1_rdata.startAddr)
1401cf7d6b7aSMuzi    )
14021c6fc24aSEaston Man  ftq_pd_mem.io.ren.get.last := canCommit
140309c6f1ddSLingrui98  ftq_pd_mem.io.raddr.last   := commPtr.value
140409c6f1ddSLingrui98  val commit_pd = ftq_pd_mem.io.rdata.last
140516a171eeSEaston Man  ftq_redirect_mem.io.ren.get.last := canCommit
1406deb3a97eSGao-Zeyu  ftq_redirect_mem.io.raddr.last   := commPtr.value
1407deb3a97eSGao-Zeyu  val commit_spec_meta = ftq_redirect_mem.io.rdata.last
140809c6f1ddSLingrui98  ftq_meta_1r_sram.io.ren(0)   := canCommit
140909c6f1ddSLingrui98  ftq_meta_1r_sram.io.raddr(0) := commPtr.value
1410deb3a97eSGao-Zeyu  val commit_meta      = ftq_meta_1r_sram.io.rdata(0).meta
1411deb3a97eSGao-Zeyu  val commit_ftb_entry = ftq_meta_1r_sram.io.rdata(0).ftb_entry
141209c6f1ddSLingrui98
141309c6f1ddSLingrui98  // need one cycle to read mem and srams
14141c6fc24aSEaston Man  val do_commit_ptr = RegEnable(commPtr, canCommit)
14155371700eSzoujr  val do_commit     = RegNext(canCommit, init = false.B)
14169230e379SMuzi  when(canMoveCommPtr) {
14176bf9b30dSLingrui98    commPtr_write      := commPtrPlus1
14186bf9b30dSLingrui98    commPtrPlus1_write := commPtrPlus1 + 1.U
14196bf9b30dSLingrui98  }
14201c6fc24aSEaston Man  val commit_state   = RegEnable(commitStateQueueReg(commPtr.value), canCommit)
14215371700eSzoujr  val can_commit_cfi = WireInit(cfiIndex_vec(commPtr.value))
1422d4fcfc3eSGuokai Chen  val do_commit_cfi  = WireInit(cfiIndex_vec(do_commit_ptr.value))
14233f88c020SGuokai Chen  //
14243f88c020SGuokai Chen  // when (commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited) {
14253f88c020SGuokai Chen  //  can_commit_cfi.valid := false.B
14263f88c020SGuokai Chen  // }
14271c6fc24aSEaston Man  val commit_cfi = RegEnable(can_commit_cfi, canCommit)
142891346769SMuzi  val debug_cfi  = commitStateQueueReg(do_commit_ptr.value)(do_commit_cfi.bits) =/= c_committed && do_commit_cfi.valid
142909c6f1ddSLingrui98
1430cf7d6b7aSMuzi  val commit_mispredict: Vec[Bool] =
1431cf7d6b7aSMuzi    VecInit((RegEnable(mispredict_vec(commPtr.value), canCommit) zip commit_state).map {
143291346769SMuzi      case (mis, state) => mis && state === c_committed
143309c6f1ddSLingrui98    })
143491346769SMuzi  val commit_instCommited: Vec[Bool] = VecInit(commit_state.map(_ === c_committed)) // [PredictWidth]
14355371700eSzoujr  val can_commit_hit     = entry_hit_status(commPtr.value)
14361c6fc24aSEaston Man  val commit_hit         = RegEnable(can_commit_hit, canCommit)
14371c6fc24aSEaston Man  val diff_commit_target = RegEnable(update_target(commPtr.value), canCommit) // TODO: remove this
14381c6fc24aSEaston Man  val commit_stage       = RegEnable(pred_stage(commPtr.value), canCommit)
143909c6f1ddSLingrui98  val commit_valid       = commit_hit === h_hit || commit_cfi.valid           // hit or taken
144009c6f1ddSLingrui98
14415371700eSzoujr  val to_bpu_hit = can_commit_hit === h_hit || can_commit_hit === h_false_hit
144202f21c16SLingrui98  switch(bpu_ftb_update_stall) {
144302f21c16SLingrui98    is(0.U) {
144402f21c16SLingrui98      when(can_commit_cfi.valid && !to_bpu_hit && canCommit) {
144502f21c16SLingrui98        bpu_ftb_update_stall := 2.U // 2-cycle stall
144602f21c16SLingrui98      }
144702f21c16SLingrui98    }
144802f21c16SLingrui98    is(2.U) {
144902f21c16SLingrui98      bpu_ftb_update_stall := 1.U
145002f21c16SLingrui98    }
145102f21c16SLingrui98    is(1.U) {
145202f21c16SLingrui98      bpu_ftb_update_stall := 0.U
145302f21c16SLingrui98    }
145402f21c16SLingrui98    is(3.U) {
145502f21c16SLingrui98      XSError(true.B, "bpu_ftb_update_stall should be 0, 1 or 2")
145602f21c16SLingrui98    }
145702f21c16SLingrui98  }
145809c6f1ddSLingrui98
1459b0ed7239SLingrui98  // TODO: remove this
1460b0ed7239SLingrui98  XSError(do_commit && diff_commit_target =/= commit_target, "\ncommit target should be the same as update target\n")
1461b0ed7239SLingrui98
1462b2f6ed0aSSteve Gou  // update latency stats
1463b2f6ed0aSSteve Gou  val update_latency = GTimer() - pred_s1_cycle.getOrElse(dummy_s1_pred_cycle_vec)(do_commit_ptr.value) + 1.U
1464b2f6ed0aSSteve Gou  XSPerfHistogram("bpu_update_latency", update_latency, io.toBpu.update.valid, 0, 64, 2)
1465b2f6ed0aSSteve Gou
146609c6f1ddSLingrui98  io.toBpu.update       := DontCare
146709c6f1ddSLingrui98  io.toBpu.update.valid := commit_valid && do_commit
146809c6f1ddSLingrui98  val update = io.toBpu.update.bits
146909c6f1ddSLingrui98  update.false_hit   := commit_hit === h_false_hit
147009c6f1ddSLingrui98  update.pc          := commit_pc_bundle.startAddr
1471deb3a97eSGao-Zeyu  update.meta        := commit_meta
1472803124a6SLingrui98  update.cfi_idx     := commit_cfi
14738ffcd86aSLingrui98  update.full_target := commit_target
1474edc18578SLingrui98  update.from_stage  := commit_stage
1475c2d1ec7dSLingrui98  update.spec_info   := commit_spec_meta
14763f88c020SGuokai Chen  XSError(commit_valid && do_commit && debug_cfi, "\ncommit cfi can be non c_commited\n")
147709c6f1ddSLingrui98
147809c6f1ddSLingrui98  val commit_real_hit  = commit_hit === h_hit
147909c6f1ddSLingrui98  val update_ftb_entry = update.ftb_entry
148009c6f1ddSLingrui98
148109c6f1ddSLingrui98  val ftbEntryGen = Module(new FTBEntryGen).io
148209c6f1ddSLingrui98  ftbEntryGen.start_addr     := commit_pc_bundle.startAddr
148309c6f1ddSLingrui98  ftbEntryGen.old_entry      := commit_ftb_entry
148409c6f1ddSLingrui98  ftbEntryGen.pd             := commit_pd
148509c6f1ddSLingrui98  ftbEntryGen.cfiIndex       := commit_cfi
148609c6f1ddSLingrui98  ftbEntryGen.target         := commit_target
148709c6f1ddSLingrui98  ftbEntryGen.hit            := commit_real_hit
148809c6f1ddSLingrui98  ftbEntryGen.mispredict_vec := commit_mispredict
148909c6f1ddSLingrui98
149009c6f1ddSLingrui98  update_ftb_entry         := ftbEntryGen.new_entry
149109c6f1ddSLingrui98  update.new_br_insert_pos := ftbEntryGen.new_br_insert_pos
149209c6f1ddSLingrui98  update.mispred_mask      := ftbEntryGen.mispred_mask
149309c6f1ddSLingrui98  update.old_entry         := ftbEntryGen.is_old_entry
1494edc18578SLingrui98  update.pred_hit          := commit_hit === h_hit || commit_hit === h_false_hit
1495803124a6SLingrui98  update.br_taken_mask     := ftbEntryGen.taken_mask
1496cc2d1573SEaston Man  update.br_committed := (ftbEntryGen.new_entry.brValids zip ftbEntryGen.new_entry.brOffset) map {
1497cc2d1573SEaston Man    case (valid, offset) => valid && commit_instCommited(offset)
1498cc2d1573SEaston Man  }
1499803124a6SLingrui98  update.jmp_taken := ftbEntryGen.jmp_taken
1500b37e4b45SLingrui98
1501803124a6SLingrui98  // update.full_pred.fromFtbEntry(ftbEntryGen.new_entry, update.pc)
1502803124a6SLingrui98  // update.full_pred.jalr_target := commit_target
1503803124a6SLingrui98  // update.full_pred.hit := true.B
1504803124a6SLingrui98  // when (update.full_pred.is_jalr) {
1505803124a6SLingrui98  //   update.full_pred.targets.last := commit_target
1506803124a6SLingrui98  // }
150709c6f1ddSLingrui98
150809c6f1ddSLingrui98  // ******************************************************************************
150909c6f1ddSLingrui98  // **************************** commit perf counters ****************************
151009c6f1ddSLingrui98  // ******************************************************************************
151109c6f1ddSLingrui98
151291346769SMuzi  val commit_inst_mask        = VecInit(commit_state.map(c => c === c_committed && do_commit)).asUInt
151309c6f1ddSLingrui98  val commit_mispred_mask     = commit_mispredict.asUInt
151409c6f1ddSLingrui98  val commit_not_mispred_mask = ~commit_mispred_mask
151509c6f1ddSLingrui98
151609c6f1ddSLingrui98  val commit_br_mask  = commit_pd.brMask.asUInt
151709c6f1ddSLingrui98  val commit_jmp_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.jmpInfo.valid.asTypeOf(UInt(1.W)))
1518cf7d6b7aSMuzi  val commit_cfi_mask = commit_br_mask | commit_jmp_mask
151909c6f1ddSLingrui98
152009c6f1ddSLingrui98  val mbpInstrs = commit_inst_mask & commit_cfi_mask
152109c6f1ddSLingrui98
152209c6f1ddSLingrui98  val mbpRights = mbpInstrs & commit_not_mispred_mask
152309c6f1ddSLingrui98  val mbpWrongs = mbpInstrs & commit_mispred_mask
152409c6f1ddSLingrui98
152509c6f1ddSLingrui98  io.bpuInfo.bpRight := PopCount(mbpRights)
152609c6f1ddSLingrui98  io.bpuInfo.bpWrong := PopCount(mbpWrongs)
152709c6f1ddSLingrui98
1528b92f8445Sssszwic  val hartId           = p(XSCoreParamsKey).HartId
1529c686adcdSYinan Xu  val isWriteFTQTable  = Constantin.createRecord(s"isWriteFTQTable$hartId")
1530c686adcdSYinan Xu  val ftqBranchTraceDB = ChiselDB.createTable(s"FTQTable$hartId", new FtqDebugBundle)
153109c6f1ddSLingrui98  // Cfi Info
153209c6f1ddSLingrui98  for (i <- 0 until PredictWidth) {
153309c6f1ddSLingrui98    val pc      = commit_pc_bundle.startAddr + (i * instBytes).U
153491346769SMuzi    val v       = commit_state(i) === c_committed
153509c6f1ddSLingrui98    val isBr    = commit_pd.brMask(i)
153609c6f1ddSLingrui98    val isJmp   = commit_pd.jmpInfo.valid && commit_pd.jmpOffset === i.U
153709c6f1ddSLingrui98    val isCfi   = isBr || isJmp
153809c6f1ddSLingrui98    val isTaken = commit_cfi.valid && commit_cfi.bits === i.U
153909c6f1ddSLingrui98    val misPred = commit_mispredict(i)
1540c2ad24ebSLingrui98    // val ghist = commit_spec_meta.ghist.predHist
1541c2ad24ebSLingrui98    val histPtr   = commit_spec_meta.histPtr
1542deb3a97eSGao-Zeyu    val predCycle = commit_meta(63, 0)
154309c6f1ddSLingrui98    val target    = commit_target
154409c6f1ddSLingrui98
1545cf7d6b7aSMuzi    val brIdx = OHToUInt(Reverse(Cat(update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map { case (v, offset) =>
1546cf7d6b7aSMuzi      v && offset === i.U
1547cf7d6b7aSMuzi    })))
1548cf7d6b7aSMuzi    val inFtbEntry = update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map { case (v, offset) =>
1549cf7d6b7aSMuzi      v && offset === i.U
1550cf7d6b7aSMuzi    }.reduce(_ || _)
1551cf7d6b7aSMuzi    val addIntoHist =
1552cf7d6b7aSMuzi      ((commit_hit === h_hit) && inFtbEntry) || (!(commit_hit === h_hit) && i.U === commit_cfi.bits && isBr && commit_cfi.valid)
1553cf7d6b7aSMuzi    XSDebug(
1554cf7d6b7aSMuzi      v && do_commit && isCfi,
1555cf7d6b7aSMuzi      p"cfi_update: isBr(${isBr}) pc(${Hexadecimal(pc)}) " +
1556c2ad24ebSLingrui98        p"taken(${isTaken}) mispred(${misPred}) cycle($predCycle) hist(${histPtr.value}) " +
155709c6f1ddSLingrui98        p"startAddr(${Hexadecimal(commit_pc_bundle.startAddr)}) AddIntoHist(${addIntoHist}) " +
1558cf7d6b7aSMuzi        p"brInEntry(${inFtbEntry}) brIdx(${brIdx}) target(${Hexadecimal(target)})\n"
1559cf7d6b7aSMuzi    )
156051532d8bSGuokai Chen
156151532d8bSGuokai Chen    val logbundle = Wire(new FtqDebugBundle)
156251532d8bSGuokai Chen    logbundle.pc        := pc
156351532d8bSGuokai Chen    logbundle.target    := target
156451532d8bSGuokai Chen    logbundle.isBr      := isBr
156551532d8bSGuokai Chen    logbundle.isJmp     := isJmp
156651532d8bSGuokai Chen    logbundle.isCall    := isJmp && commit_pd.hasCall
156751532d8bSGuokai Chen    logbundle.isRet     := isJmp && commit_pd.hasRet
156851532d8bSGuokai Chen    logbundle.misPred   := misPred
156951532d8bSGuokai Chen    logbundle.isTaken   := isTaken
157051532d8bSGuokai Chen    logbundle.predStage := commit_stage
157151532d8bSGuokai Chen
157251532d8bSGuokai Chen    ftqBranchTraceDB.log(
157351532d8bSGuokai Chen      data = logbundle /* hardware of type T */,
1574da3bf434SMaxpicca-Li      en = isWriteFTQTable.orR && v && do_commit && isCfi,
157551532d8bSGuokai Chen      site = "FTQ" + p(XSCoreParamsKey).HartId.toString,
157651532d8bSGuokai Chen      clock = clock,
157751532d8bSGuokai Chen      reset = reset
157851532d8bSGuokai Chen    )
157909c6f1ddSLingrui98  }
158009c6f1ddSLingrui98
158109c6f1ddSLingrui98  val enq           = io.fromBpu.resp
15822e1be6e1SSteve Gou  val perf_redirect = backendRedirect
158309c6f1ddSLingrui98
158409c6f1ddSLingrui98  XSPerfAccumulate("entry", validEntries)
158509c6f1ddSLingrui98  XSPerfAccumulate("bpu_to_ftq_stall", enq.valid && !enq.ready)
158609c6f1ddSLingrui98  XSPerfAccumulate("mispredictRedirect", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level)
158709c6f1ddSLingrui98  XSPerfAccumulate("replayRedirect", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level))
158809c6f1ddSLingrui98  XSPerfAccumulate("predecodeRedirect", fromIfuRedirect.valid)
158909c6f1ddSLingrui98
159009c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_bubble", io.toIfu.req.ready && !io.toIfu.req.valid)
159109c6f1ddSLingrui98
159209c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_stall", io.toIfu.req.valid && !io.toIfu.req.ready)
159309c6f1ddSLingrui98  XSPerfAccumulate("from_bpu_real_bubble", !enq.valid && enq.ready && allowBpuIn)
159412cedb6fSLingrui98  XSPerfAccumulate("bpu_to_ifu_bubble", bpuPtr === ifuPtr)
1595cf7d6b7aSMuzi  XSPerfAccumulate(
1596cf7d6b7aSMuzi    "bpu_to_ifu_bubble_when_ftq_full",
1597cf7d6b7aSMuzi    (bpuPtr === ifuPtr) && isFull(bpuPtr, commPtr) && io.toIfu.req.ready
1598cf7d6b7aSMuzi  )
159909c6f1ddSLingrui98
1600bace178aSGao-Zeyu  XSPerfAccumulate("redirectAhead_ValidNum", ftqIdxAhead.map(_.valid).reduce(_ | _))
16019342624fSGao-Zeyu  XSPerfAccumulate("fromBackendRedirect_ValidNum", io.fromBackend.redirect.valid)
16029342624fSGao-Zeyu  XSPerfAccumulate("toBpuRedirect_ValidNum", io.toBpu.redirect.valid)
16039342624fSGao-Zeyu
160409c6f1ddSLingrui98  val from_bpu = io.fromBpu.resp.bits
160509c6f1ddSLingrui98  val to_ifu   = io.toIfu.req.bits
160609c6f1ddSLingrui98
1607209a4cafSSteve Gou  XSPerfHistogram("commit_num_inst", PopCount(commit_inst_mask), do_commit, 0, PredictWidth + 1, 1)
160809c6f1ddSLingrui98
160909c6f1ddSLingrui98  val commit_jal_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJal.asTypeOf(UInt(1.W)))
161009c6f1ddSLingrui98  val commit_jalr_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJalr.asTypeOf(UInt(1.W)))
161109c6f1ddSLingrui98  val commit_call_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasCall.asTypeOf(UInt(1.W)))
161209c6f1ddSLingrui98  val commit_ret_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasRet.asTypeOf(UInt(1.W)))
161309c6f1ddSLingrui98
161409c6f1ddSLingrui98  val mbpBRights = mbpRights & commit_br_mask
161509c6f1ddSLingrui98  val mbpJRights = mbpRights & commit_jal_mask
161609c6f1ddSLingrui98  val mbpIRights = mbpRights & commit_jalr_mask
161709c6f1ddSLingrui98  val mbpCRights = mbpRights & commit_call_mask
161809c6f1ddSLingrui98  val mbpRRights = mbpRights & commit_ret_mask
161909c6f1ddSLingrui98
162009c6f1ddSLingrui98  val mbpBWrongs = mbpWrongs & commit_br_mask
162109c6f1ddSLingrui98  val mbpJWrongs = mbpWrongs & commit_jal_mask
162209c6f1ddSLingrui98  val mbpIWrongs = mbpWrongs & commit_jalr_mask
162309c6f1ddSLingrui98  val mbpCWrongs = mbpWrongs & commit_call_mask
162409c6f1ddSLingrui98  val mbpRWrongs = mbpWrongs & commit_ret_mask
162509c6f1ddSLingrui98
16261d7e5011SLingrui98  val commit_pred_stage = RegNext(pred_stage(commPtr.value))
16271d7e5011SLingrui98
1628cf7d6b7aSMuzi  def pred_stage_map(src: UInt, name: String) =
16291d7e5011SLingrui98    (0 until numBpStages).map(i =>
16301d7e5011SLingrui98      f"${name}_stage_${i + 1}" -> PopCount(src.asBools.map(_ && commit_pred_stage === BP_STAGES(i)))
16311d7e5011SLingrui98    ).foldLeft(Map[String, UInt]())(_ + _)
16321d7e5011SLingrui98
16331d7e5011SLingrui98  val mispred_stage_map      = pred_stage_map(mbpWrongs, "mispredict")
16341d7e5011SLingrui98  val br_mispred_stage_map   = pred_stage_map(mbpBWrongs, "br_mispredict")
16351d7e5011SLingrui98  val jalr_mispred_stage_map = pred_stage_map(mbpIWrongs, "jalr_mispredict")
16361d7e5011SLingrui98  val correct_stage_map      = pred_stage_map(mbpRights, "correct")
16371d7e5011SLingrui98  val br_correct_stage_map   = pred_stage_map(mbpBRights, "br_correct")
16381d7e5011SLingrui98  val jalr_correct_stage_map = pred_stage_map(mbpIRights, "jalr_correct")
16391d7e5011SLingrui98
164009c6f1ddSLingrui98  val update_valid = io.toBpu.update.valid
164109c6f1ddSLingrui98  def u(cond: Bool) = update_valid && cond
164209c6f1ddSLingrui98  val ftb_false_hit = u(update.false_hit)
164365fddcf0Szoujr  // assert(!ftb_false_hit)
164409c6f1ddSLingrui98  val ftb_hit = u(commit_hit === h_hit)
164509c6f1ddSLingrui98
164609c6f1ddSLingrui98  val ftb_new_entry                = u(ftbEntryGen.is_init_entry)
1647b37e4b45SLingrui98  val ftb_new_entry_only_br        = ftb_new_entry && !update_ftb_entry.jmpValid
1648b37e4b45SLingrui98  val ftb_new_entry_only_jmp       = ftb_new_entry && !update_ftb_entry.brValids(0)
1649b37e4b45SLingrui98  val ftb_new_entry_has_br_and_jmp = ftb_new_entry && update_ftb_entry.brValids(0) && update_ftb_entry.jmpValid
165009c6f1ddSLingrui98
165109c6f1ddSLingrui98  val ftb_old_entry = u(ftbEntryGen.is_old_entry)
165209c6f1ddSLingrui98
1653cf7d6b7aSMuzi  val ftb_modified_entry =
1654dcf4211fSYuandongliang    u(ftbEntryGen.is_new_br || ftbEntryGen.is_jalr_target_modified || ftbEntryGen.is_strong_bias_modified)
165509c6f1ddSLingrui98  val ftb_modified_entry_new_br               = u(ftbEntryGen.is_new_br)
1656d2b20d1aSTang Haojin  val ftb_modified_entry_ifu_redirected       = u(ifuRedirected(do_commit_ptr.value))
165709c6f1ddSLingrui98  val ftb_modified_entry_jalr_target_modified = u(ftbEntryGen.is_jalr_target_modified)
165809c6f1ddSLingrui98  val ftb_modified_entry_br_full              = ftb_modified_entry && ftbEntryGen.is_br_full
1659dcf4211fSYuandongliang  val ftb_modified_entry_strong_bias          = ftb_modified_entry && ftbEntryGen.is_strong_bias_modified
166009c6f1ddSLingrui98
1661209a4cafSSteve Gou  def getFtbEntryLen(pc: UInt, entry: FTBEntry) = (entry.getFallThrough(pc) - pc) >> instOffsetBits
1662209a4cafSSteve Gou  val gen_ftb_entry_len = getFtbEntryLen(update.pc, ftbEntryGen.new_entry)
1663209a4cafSSteve Gou  XSPerfHistogram("ftb_init_entry_len", gen_ftb_entry_len, ftb_new_entry, 0, PredictWidth + 1, 1)
1664209a4cafSSteve Gou  XSPerfHistogram("ftb_modified_entry_len", gen_ftb_entry_len, ftb_modified_entry, 0, PredictWidth + 1, 1)
1665209a4cafSSteve Gou  val s3_ftb_entry_len = getFtbEntryLen(from_bpu.s3.pc(0), from_bpu.last_stage_ftb_entry)
1666209a4cafSSteve Gou  XSPerfHistogram("s3_ftb_entry_len", s3_ftb_entry_len, from_bpu.s3.valid(0), 0, PredictWidth + 1, 1)
166709c6f1ddSLingrui98
1668209a4cafSSteve Gou  XSPerfHistogram("ftq_has_entry", validEntries, true.B, 0, FtqSize + 1, 1)
166909c6f1ddSLingrui98
167009c6f1ddSLingrui98  val perfCountsMap = Map(
167109c6f1ddSLingrui98    "BpInstr"                        -> PopCount(mbpInstrs),
167209c6f1ddSLingrui98    "BpBInstr"                       -> PopCount(mbpBRights | mbpBWrongs),
167309c6f1ddSLingrui98    "BpRight"                        -> PopCount(mbpRights),
167409c6f1ddSLingrui98    "BpWrong"                        -> PopCount(mbpWrongs),
167509c6f1ddSLingrui98    "BpBRight"                       -> PopCount(mbpBRights),
167609c6f1ddSLingrui98    "BpBWrong"                       -> PopCount(mbpBWrongs),
167709c6f1ddSLingrui98    "BpJRight"                       -> PopCount(mbpJRights),
167809c6f1ddSLingrui98    "BpJWrong"                       -> PopCount(mbpJWrongs),
167909c6f1ddSLingrui98    "BpIRight"                       -> PopCount(mbpIRights),
168009c6f1ddSLingrui98    "BpIWrong"                       -> PopCount(mbpIWrongs),
168109c6f1ddSLingrui98    "BpCRight"                       -> PopCount(mbpCRights),
168209c6f1ddSLingrui98    "BpCWrong"                       -> PopCount(mbpCWrongs),
168309c6f1ddSLingrui98    "BpRRight"                       -> PopCount(mbpRRights),
168409c6f1ddSLingrui98    "BpRWrong"                       -> PopCount(mbpRWrongs),
168509c6f1ddSLingrui98    "ftb_false_hit"                  -> PopCount(ftb_false_hit),
168609c6f1ddSLingrui98    "ftb_hit"                        -> PopCount(ftb_hit),
168709c6f1ddSLingrui98    "ftb_new_entry"                  -> PopCount(ftb_new_entry),
168809c6f1ddSLingrui98    "ftb_new_entry_only_br"          -> PopCount(ftb_new_entry_only_br),
168909c6f1ddSLingrui98    "ftb_new_entry_only_jmp"         -> PopCount(ftb_new_entry_only_jmp),
169009c6f1ddSLingrui98    "ftb_new_entry_has_br_and_jmp"   -> PopCount(ftb_new_entry_has_br_and_jmp),
169109c6f1ddSLingrui98    "ftb_old_entry"                  -> PopCount(ftb_old_entry),
169209c6f1ddSLingrui98    "ftb_modified_entry"             -> PopCount(ftb_modified_entry),
169309c6f1ddSLingrui98    "ftb_modified_entry_new_br"      -> PopCount(ftb_modified_entry_new_br),
169409c6f1ddSLingrui98    "ftb_jalr_target_modified"       -> PopCount(ftb_modified_entry_jalr_target_modified),
169509c6f1ddSLingrui98    "ftb_modified_entry_br_full"     -> PopCount(ftb_modified_entry_br_full),
1696dcf4211fSYuandongliang    "ftb_modified_entry_strong_bias" -> PopCount(ftb_modified_entry_strong_bias)
1697209a4cafSSteve Gou  ) ++ mispred_stage_map ++ br_mispred_stage_map ++ jalr_mispred_stage_map ++
16981d7e5011SLingrui98    correct_stage_map ++ br_correct_stage_map ++ jalr_correct_stage_map
169909c6f1ddSLingrui98
170009c6f1ddSLingrui98  for ((key, value) <- perfCountsMap) {
170109c6f1ddSLingrui98    XSPerfAccumulate(key, value)
170209c6f1ddSLingrui98  }
170309c6f1ddSLingrui98
170409c6f1ddSLingrui98  // --------------------------- Debug --------------------------------
170509c6f1ddSLingrui98  // XSDebug(enq_fire, p"enq! " + io.fromBpu.resp.bits.toPrintable)
170609c6f1ddSLingrui98  XSDebug(io.toIfu.req.fire, p"fire to ifu " + io.toIfu.req.bits.toPrintable)
170709c6f1ddSLingrui98  XSDebug(do_commit, p"deq! [ptr] $do_commit_ptr\n")
170809c6f1ddSLingrui98  XSDebug(true.B, p"[bpuPtr] $bpuPtr, [ifuPtr] $ifuPtr, [ifuWbPtr] $ifuWbPtr [commPtr] $commPtr\n")
1709cf7d6b7aSMuzi  XSDebug(
1710cf7d6b7aSMuzi    true.B,
1711cf7d6b7aSMuzi    p"[in] v:${io.fromBpu.resp.valid} r:${io.fromBpu.resp.ready} " +
1712cf7d6b7aSMuzi      p"[out] v:${io.toIfu.req.valid} r:${io.toIfu.req.ready}\n"
1713cf7d6b7aSMuzi  )
171409c6f1ddSLingrui98  XSDebug(do_commit, p"[deq info] cfiIndex: $commit_cfi, $commit_pc_bundle, target: ${Hexadecimal(commit_target)}\n")
171509c6f1ddSLingrui98
171609c6f1ddSLingrui98  //   def ubtbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
171709c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
171809c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
171909c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
172009c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
172109c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
172209c6f1ddSLingrui98  //           !taken),
172309c6f1ddSLingrui98  //         !taken),
172409c6f1ddSLingrui98  //       false.B)
172509c6f1ddSLingrui98  //     }
172609c6f1ddSLingrui98  //   }
172709c6f1ddSLingrui98
172809c6f1ddSLingrui98  //   def btbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
172909c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
173009c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
173109c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
173209c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
173309c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
173409c6f1ddSLingrui98  //           !taken),
173509c6f1ddSLingrui98  //         !taken),
173609c6f1ddSLingrui98  //       false.B)
173709c6f1ddSLingrui98  //     }
173809c6f1ddSLingrui98  //   }
173909c6f1ddSLingrui98
174009c6f1ddSLingrui98  //   def tageCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
174109c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
174209c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
174309c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
174409c6f1ddSLingrui98  //         isWrong ^ (ans.taken.asBool === taken),
174509c6f1ddSLingrui98  //       false.B)
174609c6f1ddSLingrui98  //     }
174709c6f1ddSLingrui98  //   }
174809c6f1ddSLingrui98
174909c6f1ddSLingrui98  //   def loopCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
175009c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
175109c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
175209c6f1ddSLingrui98  //       Mux(valid && (pd.isBr) && ans.hit.asBool,
175309c6f1ddSLingrui98  //         isWrong ^ (!taken),
175409c6f1ddSLingrui98  //           false.B)
175509c6f1ddSLingrui98  //     }
175609c6f1ddSLingrui98  //   }
175709c6f1ddSLingrui98
175809c6f1ddSLingrui98  //   def rasCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
175909c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
176009c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
176109c6f1ddSLingrui98  //       Mux(valid && pd.isRet.asBool /*&& taken*/ && ans.hit.asBool,
176209c6f1ddSLingrui98  //         isWrong ^ (ans.target === commitEntry.target),
176309c6f1ddSLingrui98  //           false.B)
176409c6f1ddSLingrui98  //     }
176509c6f1ddSLingrui98  //   }
176609c6f1ddSLingrui98
176709c6f1ddSLingrui98  //   val ubtbRights = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), false.B)
176809c6f1ddSLingrui98  //   val ubtbWrongs = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), true.B)
176909c6f1ddSLingrui98  //   // btb and ubtb pred jal and jalr as well
177009c6f1ddSLingrui98  //   val btbRights = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), false.B)
177109c6f1ddSLingrui98  //   val btbWrongs = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), true.B)
177209c6f1ddSLingrui98  //   val tageRights = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), false.B)
177309c6f1ddSLingrui98  //   val tageWrongs = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), true.B)
177409c6f1ddSLingrui98
177509c6f1ddSLingrui98  //   val loopRights = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), false.B)
177609c6f1ddSLingrui98  //   val loopWrongs = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), true.B)
177709c6f1ddSLingrui98
177809c6f1ddSLingrui98  //   val rasRights = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), false.B)
177909c6f1ddSLingrui98  //   val rasWrongs = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), true.B)
17801ca0e4f3SYinan Xu
1781cd365d4cSrvcoresjw  val perfEvents = Seq(
1782cd365d4cSrvcoresjw    ("bpu_s2_redirect        ", bpu_s2_redirect),
1783cb4f77ceSLingrui98    ("bpu_s3_redirect        ", bpu_s3_redirect),
1784cd365d4cSrvcoresjw    ("bpu_to_ftq_stall       ", enq.valid && ~enq.ready),
1785cd365d4cSrvcoresjw    ("mispredictRedirect     ", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level),
1786cd365d4cSrvcoresjw    ("replayRedirect         ", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level)),
1787cd365d4cSrvcoresjw    ("predecodeRedirect      ", fromIfuRedirect.valid),
1788cd365d4cSrvcoresjw    ("to_ifu_bubble          ", io.toIfu.req.ready && !io.toIfu.req.valid),
1789cd365d4cSrvcoresjw    ("from_bpu_real_bubble   ", !enq.valid && enq.ready && allowBpuIn),
1790cd365d4cSrvcoresjw    ("BpInstr                ", PopCount(mbpInstrs)),
1791cd365d4cSrvcoresjw    ("BpBInstr               ", PopCount(mbpBRights | mbpBWrongs)),
1792cd365d4cSrvcoresjw    ("BpRight                ", PopCount(mbpRights)),
1793cd365d4cSrvcoresjw    ("BpWrong                ", PopCount(mbpWrongs)),
1794cd365d4cSrvcoresjw    ("BpBRight               ", PopCount(mbpBRights)),
1795cd365d4cSrvcoresjw    ("BpBWrong               ", PopCount(mbpBWrongs)),
1796cd365d4cSrvcoresjw    ("BpJRight               ", PopCount(mbpJRights)),
1797cd365d4cSrvcoresjw    ("BpJWrong               ", PopCount(mbpJWrongs)),
1798cd365d4cSrvcoresjw    ("BpIRight               ", PopCount(mbpIRights)),
1799cd365d4cSrvcoresjw    ("BpIWrong               ", PopCount(mbpIWrongs)),
1800cd365d4cSrvcoresjw    ("BpCRight               ", PopCount(mbpCRights)),
1801cd365d4cSrvcoresjw    ("BpCWrong               ", PopCount(mbpCWrongs)),
1802cd365d4cSrvcoresjw    ("BpRRight               ", PopCount(mbpRRights)),
1803cd365d4cSrvcoresjw    ("BpRWrong               ", PopCount(mbpRWrongs)),
1804cd365d4cSrvcoresjw    ("ftb_false_hit          ", PopCount(ftb_false_hit)),
1805cf7d6b7aSMuzi    ("ftb_hit                ", PopCount(ftb_hit))
1806cd365d4cSrvcoresjw  )
18071ca0e4f3SYinan Xu  generatePerfEvent()
180809c6f1ddSLingrui98}
1809