xref: /XiangShan/src/main/scala/xiangshan/frontend/NewFtq.scala (revision 3e52bed1735f5821bebb79dbb9b148cbb019938d)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
1509c6f1ddSLingrui98***************************************************************************************/
1609c6f1ddSLingrui98
1709c6f1ddSLingrui98package xiangshan.frontend
1809c6f1ddSLingrui98
1909c6f1ddSLingrui98import chipsalliance.rocketchip.config.Parameters
2009c6f1ddSLingrui98import chisel3._
2109c6f1ddSLingrui98import chisel3.util._
22cd365d4cSrvcoresjwimport utils.{AsyncDataModuleTemplate, CircularQueuePtr, DataModuleTemplate, HasCircularQueuePtrHelper, SRAMTemplate, SyncDataModuleTemplate, XSDebug, XSPerfAccumulate, PerfBundle, PerfEventsBundle, XSError}
2309c6f1ddSLingrui98import xiangshan._
2409c6f1ddSLingrui98import scala.tools.nsc.doc.model.Val
2509c6f1ddSLingrui98import utils.{ParallelPriorityMux, ParallelPriorityEncoder}
2609c6f1ddSLingrui98import xiangshan.backend.{CtrlToFtqIO}
2709c6f1ddSLingrui98import firrtl.annotations.MemoryLoadFileType
2809c6f1ddSLingrui98
2909c6f1ddSLingrui98class FtqPtr(implicit p: Parameters) extends CircularQueuePtr[FtqPtr](
3009c6f1ddSLingrui98  p => p(XSCoreParamsKey).FtqSize
3109c6f1ddSLingrui98){
3209c6f1ddSLingrui98  override def cloneType = (new FtqPtr).asInstanceOf[this.type]
3309c6f1ddSLingrui98}
3409c6f1ddSLingrui98
3509c6f1ddSLingrui98object FtqPtr {
3609c6f1ddSLingrui98  def apply(f: Bool, v: UInt)(implicit p: Parameters): FtqPtr = {
3709c6f1ddSLingrui98    val ptr = Wire(new FtqPtr)
3809c6f1ddSLingrui98    ptr.flag := f
3909c6f1ddSLingrui98    ptr.value := v
4009c6f1ddSLingrui98    ptr
4109c6f1ddSLingrui98  }
4209c6f1ddSLingrui98  def inverse(ptr: FtqPtr)(implicit p: Parameters): FtqPtr = {
4309c6f1ddSLingrui98    apply(!ptr.flag, ptr.value)
4409c6f1ddSLingrui98  }
4509c6f1ddSLingrui98}
4609c6f1ddSLingrui98
4709c6f1ddSLingrui98class FtqNRSRAM[T <: Data](gen: T, numRead: Int)(implicit p: Parameters) extends XSModule {
4809c6f1ddSLingrui98
4909c6f1ddSLingrui98  val io = IO(new Bundle() {
5009c6f1ddSLingrui98    val raddr = Input(Vec(numRead, UInt(log2Up(FtqSize).W)))
5109c6f1ddSLingrui98    val ren = Input(Vec(numRead, Bool()))
5209c6f1ddSLingrui98    val rdata = Output(Vec(numRead, gen))
5309c6f1ddSLingrui98    val waddr = Input(UInt(log2Up(FtqSize).W))
5409c6f1ddSLingrui98    val wen = Input(Bool())
5509c6f1ddSLingrui98    val wdata = Input(gen)
5609c6f1ddSLingrui98  })
5709c6f1ddSLingrui98
5809c6f1ddSLingrui98  for(i <- 0 until numRead){
5909c6f1ddSLingrui98    val sram = Module(new SRAMTemplate(gen, FtqSize))
6009c6f1ddSLingrui98    sram.io.r.req.valid := io.ren(i)
6109c6f1ddSLingrui98    sram.io.r.req.bits.setIdx := io.raddr(i)
6209c6f1ddSLingrui98    io.rdata(i) := sram.io.r.resp.data(0)
6309c6f1ddSLingrui98    sram.io.w.req.valid := io.wen
6409c6f1ddSLingrui98    sram.io.w.req.bits.setIdx := io.waddr
6509c6f1ddSLingrui98    sram.io.w.req.bits.data := VecInit(io.wdata)
6609c6f1ddSLingrui98  }
6709c6f1ddSLingrui98
6809c6f1ddSLingrui98}
6909c6f1ddSLingrui98
7009c6f1ddSLingrui98class Ftq_RF_Components(implicit p: Parameters) extends XSBundle with BPUUtils {
7109c6f1ddSLingrui98  // TODO: move pftAddr, oversize, carry to another mem
7209c6f1ddSLingrui98  val startAddr = UInt(VAddrBits.W)
7309c6f1ddSLingrui98  val nextRangeAddr = UInt(VAddrBits.W)
7409c6f1ddSLingrui98  val pftAddr = UInt((log2Ceil(PredictWidth)+1).W)
7509c6f1ddSLingrui98  val isNextMask = Vec(PredictWidth, Bool())
7609c6f1ddSLingrui98  val oversize = Bool()
7709c6f1ddSLingrui98  val carry = Bool()
7809c6f1ddSLingrui98  def getPc(offset: UInt) = {
7985215037SLingrui98    def getHigher(pc: UInt) = pc(VAddrBits-1, log2Ceil(PredictWidth)+instOffsetBits+1)
8085215037SLingrui98    def getOffset(pc: UInt) = pc(log2Ceil(PredictWidth)+instOffsetBits, instOffsetBits)
8185215037SLingrui98    Cat(getHigher(Mux(isNextMask(offset) && startAddr(log2Ceil(PredictWidth)+instOffsetBits), nextRangeAddr, startAddr)),
8209c6f1ddSLingrui98        getOffset(startAddr)+offset, 0.U(instOffsetBits.W))
8309c6f1ddSLingrui98  }
8409c6f1ddSLingrui98  def getFallThrough() = {
855ff19bd8SLingrui98    def getHigher(pc: UInt) = pc.head(VAddrBits-log2Ceil(PredictWidth)-instOffsetBits-1)
865ff19bd8SLingrui98    val startHigher = getHigher(startAddr)
875ff19bd8SLingrui98    val nextHigher  = getHigher(nextRangeAddr)
885ff19bd8SLingrui98    val higher = Mux(carry, nextHigher, startHigher)
895ff19bd8SLingrui98    Cat(higher, pftAddr, 0.U(instOffsetBits.W))
9009c6f1ddSLingrui98  }
9109c6f1ddSLingrui98  def fallThroughError() = {
925ff19bd8SLingrui98    val startLower        = Cat(0.U(1.W), startAddr(instOffsetBits+log2Ceil(PredictWidth), instOffsetBits))
935ff19bd8SLingrui98    val endLowerwithCarry = Cat(carry,    pftAddr)
945ff19bd8SLingrui98    require(startLower.getWidth == log2Ceil(PredictWidth)+2)
955ff19bd8SLingrui98    require(endLowerwithCarry.getWidth == log2Ceil(PredictWidth)+2)
965ff19bd8SLingrui98    startLower >= endLowerwithCarry || (endLowerwithCarry - startLower) > (PredictWidth+1).U
9709c6f1ddSLingrui98  }
9809c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
99a229ab6cSLingrui98    def carryPos(addr: UInt) = addr(instOffsetBits+log2Ceil(PredictWidth)+1)
10009c6f1ddSLingrui98    this.startAddr := resp.pc
10185215037SLingrui98    this.nextRangeAddr := resp.pc + (FetchWidth * 4 * 2).U
1021ccea249SLingrui98    this.pftAddr :=
103a229ab6cSLingrui98      Mux(resp.preds.hit, resp.preds.fallThroughAddr(instOffsetBits+log2Ceil(PredictWidth),instOffsetBits),
1041ccea249SLingrui98        resp.pc(instOffsetBits + log2Ceil(PredictWidth), instOffsetBits) ^ (1 << log2Ceil(PredictWidth)).U)
10509c6f1ddSLingrui98    this.isNextMask := VecInit((0 until PredictWidth).map(i =>
10609c6f1ddSLingrui98      (resp.pc(log2Ceil(PredictWidth), 1) +& i.U)(log2Ceil(PredictWidth)).asBool()
10709c6f1ddSLingrui98    ))
108a229ab6cSLingrui98    this.oversize := Mux(resp.preds.hit, resp.preds.oversize, false.B)
109a229ab6cSLingrui98    this.carry :=
110a229ab6cSLingrui98      Mux(resp.preds.hit,
111a229ab6cSLingrui98        carryPos(resp.pc) ^ carryPos(resp.preds.fallThroughAddr),
112a229ab6cSLingrui98        resp.pc(instOffsetBits + log2Ceil(PredictWidth)).asBool
113a229ab6cSLingrui98      )
11409c6f1ddSLingrui98    this
11509c6f1ddSLingrui98  }
11609c6f1ddSLingrui98  override def toPrintable: Printable = {
11709c6f1ddSLingrui98    p"startAddr:${Hexadecimal(startAddr)}, fallThru:${Hexadecimal(getFallThrough())}"
11809c6f1ddSLingrui98  }
11909c6f1ddSLingrui98}
12009c6f1ddSLingrui98
12109c6f1ddSLingrui98class Ftq_pd_Entry(implicit p: Parameters) extends XSBundle {
12209c6f1ddSLingrui98  val brMask = Vec(PredictWidth, Bool())
12309c6f1ddSLingrui98  val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
12409c6f1ddSLingrui98  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
12509c6f1ddSLingrui98  val jalTarget = UInt(VAddrBits.W)
12609c6f1ddSLingrui98  val rvcMask = Vec(PredictWidth, Bool())
12709c6f1ddSLingrui98  def hasJal  = jmpInfo.valid && !jmpInfo.bits(0)
12809c6f1ddSLingrui98  def hasJalr = jmpInfo.valid && jmpInfo.bits(0)
12909c6f1ddSLingrui98  def hasCall = jmpInfo.valid && jmpInfo.bits(1)
13009c6f1ddSLingrui98  def hasRet  = jmpInfo.valid && jmpInfo.bits(2)
13109c6f1ddSLingrui98
13209c6f1ddSLingrui98  def fromPdWb(pdWb: PredecodeWritebackBundle) = {
13309c6f1ddSLingrui98    val pds = pdWb.pd
13409c6f1ddSLingrui98    this.brMask := VecInit(pds.map(pd => pd.isBr && pd.valid))
13509c6f1ddSLingrui98    this.jmpInfo.valid := VecInit(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid)).asUInt.orR
13609c6f1ddSLingrui98    this.jmpInfo.bits := ParallelPriorityMux(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid),
13709c6f1ddSLingrui98                                             pds.map(pd => VecInit(pd.isJalr, pd.isCall, pd.isRet)))
13809c6f1ddSLingrui98    this.jmpOffset := ParallelPriorityEncoder(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid))
13909c6f1ddSLingrui98    this.rvcMask := VecInit(pds.map(pd => pd.isRVC))
14009c6f1ddSLingrui98    this.jalTarget := pdWb.jalTarget
14109c6f1ddSLingrui98  }
14209c6f1ddSLingrui98
14309c6f1ddSLingrui98  def toPd(offset: UInt) = {
14409c6f1ddSLingrui98    require(offset.getWidth == log2Ceil(PredictWidth))
14509c6f1ddSLingrui98    val pd = Wire(new PreDecodeInfo)
14609c6f1ddSLingrui98    pd.valid := true.B
14709c6f1ddSLingrui98    pd.isRVC := rvcMask(offset)
14809c6f1ddSLingrui98    val isBr = brMask(offset)
14909c6f1ddSLingrui98    val isJalr = offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(0)
15009c6f1ddSLingrui98    pd.brType := Cat(offset === jmpOffset && jmpInfo.valid, isJalr || isBr)
15109c6f1ddSLingrui98    pd.isCall := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(1)
15209c6f1ddSLingrui98    pd.isRet  := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(2)
15309c6f1ddSLingrui98    pd
15409c6f1ddSLingrui98  }
15509c6f1ddSLingrui98}
15609c6f1ddSLingrui98
15709c6f1ddSLingrui98
15809c6f1ddSLingrui98
15909c6f1ddSLingrui98class Ftq_Redirect_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
16009c6f1ddSLingrui98  val rasSp = UInt(log2Ceil(RasSize).W)
16109c6f1ddSLingrui98  val rasEntry = new RASEntry
16209c6f1ddSLingrui98  val specCnt = Vec(numBr, UInt(10.W))
163c2ad24ebSLingrui98  // val ghist = new ShiftingGlobalHistory
164dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
165c2ad24ebSLingrui98  val histPtr = new CGHPtr
16609c6f1ddSLingrui98  val phist = UInt(PathHistoryLength.W)
16709c6f1ddSLingrui98  val phNewBit = UInt(1.W)
16809c6f1ddSLingrui98
16909c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
17009c6f1ddSLingrui98    this.rasSp := resp.rasSp
17109c6f1ddSLingrui98    this.rasEntry := resp.rasTop
17209c6f1ddSLingrui98    this.specCnt := resp.specCnt
173c2ad24ebSLingrui98    // this.ghist := resp.ghist
174dd6c0695SLingrui98    this.folded_hist := resp.folded_hist
175c2ad24ebSLingrui98    this.histPtr := resp.histPtr
17609c6f1ddSLingrui98    this.phist := resp.phist
17709c6f1ddSLingrui98    this.phNewBit := resp.pc(instOffsetBits)
17809c6f1ddSLingrui98    this
17909c6f1ddSLingrui98  }
18009c6f1ddSLingrui98}
18109c6f1ddSLingrui98
18209c6f1ddSLingrui98class Ftq_1R_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
18309c6f1ddSLingrui98  val meta = UInt(MaxMetaLength.W)
18409c6f1ddSLingrui98}
18509c6f1ddSLingrui98
18609c6f1ddSLingrui98class Ftq_Pred_Info(implicit p: Parameters) extends XSBundle {
18709c6f1ddSLingrui98  val target = UInt(VAddrBits.W)
18809c6f1ddSLingrui98  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
18909c6f1ddSLingrui98}
19009c6f1ddSLingrui98
191c2ad24ebSLingrui98// class FtqEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
192c2ad24ebSLingrui98//   val startAddr = UInt(VAddrBits.W)
193c2ad24ebSLingrui98//   val fallThruAddr = UInt(VAddrBits.W)
194c2ad24ebSLingrui98//   val isNextMask = Vec(PredictWidth, Bool())
19509c6f1ddSLingrui98
196c2ad24ebSLingrui98//   val meta = UInt(MaxMetaLength.W)
19709c6f1ddSLingrui98
198c2ad24ebSLingrui98//   val rasSp = UInt(log2Ceil(RasSize).W)
199c2ad24ebSLingrui98//   val rasEntry = new RASEntry
200c2ad24ebSLingrui98//   val hist = new ShiftingGlobalHistory
201c2ad24ebSLingrui98//   val specCnt = Vec(numBr, UInt(10.W))
20209c6f1ddSLingrui98
203c2ad24ebSLingrui98//   val valids = Vec(PredictWidth, Bool())
204c2ad24ebSLingrui98//   val brMask = Vec(PredictWidth, Bool())
205c2ad24ebSLingrui98//   // isJalr, isCall, isRet
206c2ad24ebSLingrui98//   val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
207c2ad24ebSLingrui98//   val jmpOffset = UInt(log2Ceil(PredictWidth).W)
20809c6f1ddSLingrui98
209c2ad24ebSLingrui98//   val mispredVec = Vec(PredictWidth, Bool())
210c2ad24ebSLingrui98//   val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
211c2ad24ebSLingrui98//   val target = UInt(VAddrBits.W)
212c2ad24ebSLingrui98// }
21309c6f1ddSLingrui98
21409c6f1ddSLingrui98class FtqRead[T <: Data](private val gen: T)(implicit p: Parameters) extends XSBundle {
21509c6f1ddSLingrui98  val ptr = Output(new FtqPtr)
21609c6f1ddSLingrui98  val offset = Output(UInt(log2Ceil(PredictWidth).W))
21709c6f1ddSLingrui98  val data = Input(gen)
21809c6f1ddSLingrui98  def apply(ptr: FtqPtr, offset: UInt) = {
21909c6f1ddSLingrui98    this.ptr := ptr
22009c6f1ddSLingrui98    this.offset := offset
22109c6f1ddSLingrui98    this.data
22209c6f1ddSLingrui98  }
22309c6f1ddSLingrui98  override def cloneType = (new FtqRead(gen)).asInstanceOf[this.type]
22409c6f1ddSLingrui98}
22509c6f1ddSLingrui98
22609c6f1ddSLingrui98
22709c6f1ddSLingrui98class FtqToBpuIO(implicit p: Parameters) extends XSBundle {
22809c6f1ddSLingrui98  val redirect = Valid(new BranchPredictionRedirect)
22909c6f1ddSLingrui98  val update = Valid(new BranchPredictionUpdate)
23009c6f1ddSLingrui98  val enq_ptr = Output(new FtqPtr)
23109c6f1ddSLingrui98}
23209c6f1ddSLingrui98
23309c6f1ddSLingrui98class FtqToIfuIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
23409c6f1ddSLingrui98  val req = Decoupled(new FetchRequestBundle)
23509c6f1ddSLingrui98  val redirect = Valid(new Redirect)
23609c6f1ddSLingrui98  val flushFromBpu = new Bundle {
23709c6f1ddSLingrui98    // when ifu pipeline is not stalled,
23809c6f1ddSLingrui98    // a packet from bpu s3 can reach f1 at most
23909c6f1ddSLingrui98    val s2 = Valid(new FtqPtr)
240*3e52bed1SLingrui98    // val s3 = Valid(new FtqPtr)
24109c6f1ddSLingrui98    def shouldFlushBy(src: Valid[FtqPtr], idx_to_flush: FtqPtr) = {
24209c6f1ddSLingrui98      src.valid && !isAfter(src.bits, idx_to_flush)
24309c6f1ddSLingrui98    }
24409c6f1ddSLingrui98    def shouldFlushByStage2(idx: FtqPtr) = shouldFlushBy(s2, idx)
245*3e52bed1SLingrui98    // def shouldFlushByStage3(idx: FtqPtr) = shouldFlushBy(s3, idx)
24609c6f1ddSLingrui98  }
24709c6f1ddSLingrui98}
24809c6f1ddSLingrui98
24909c6f1ddSLingrui98trait HasBackendRedirectInfo extends HasXSParameter {
25009c6f1ddSLingrui98  def numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt + 1
25109c6f1ddSLingrui98  def isLoadReplay(r: Valid[Redirect]) = r.bits.flushItself()
25209c6f1ddSLingrui98}
25309c6f1ddSLingrui98
25409c6f1ddSLingrui98class FtqToCtrlIO(implicit p: Parameters) extends XSBundle with HasBackendRedirectInfo {
25509c6f1ddSLingrui98  val pc_reads = Vec(1 + numRedirect + 1 + 1, Flipped(new FtqRead(UInt(VAddrBits.W))))
25609c6f1ddSLingrui98  val target_read = Flipped(new FtqRead(UInt(VAddrBits.W)))
25709c6f1ddSLingrui98  def getJumpPcRead = pc_reads.head
25809c6f1ddSLingrui98  def getRedirectPcRead = VecInit(pc_reads.tail.dropRight(2))
25909c6f1ddSLingrui98  def getMemPredPcRead = pc_reads.init.last
2609aca92b9SYinan Xu  def getRobFlushPcRead = pc_reads.last
26109c6f1ddSLingrui98}
26209c6f1ddSLingrui98
26309c6f1ddSLingrui98
26409c6f1ddSLingrui98class FTBEntryGen(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo with HasBPUParameter {
26509c6f1ddSLingrui98  val io = IO(new Bundle {
26609c6f1ddSLingrui98    val start_addr = Input(UInt(VAddrBits.W))
26709c6f1ddSLingrui98    val old_entry = Input(new FTBEntry)
26809c6f1ddSLingrui98    val pd = Input(new Ftq_pd_Entry)
26909c6f1ddSLingrui98    val cfiIndex = Flipped(Valid(UInt(log2Ceil(PredictWidth).W)))
27009c6f1ddSLingrui98    val target = Input(UInt(VAddrBits.W))
27109c6f1ddSLingrui98    val hit = Input(Bool())
27209c6f1ddSLingrui98    val mispredict_vec = Input(Vec(PredictWidth, Bool()))
27309c6f1ddSLingrui98
27409c6f1ddSLingrui98    val new_entry = Output(new FTBEntry)
27509c6f1ddSLingrui98    val new_br_insert_pos = Output(Vec(numBr, Bool()))
27609c6f1ddSLingrui98    val taken_mask = Output(Vec(numBr, Bool()))
27709c6f1ddSLingrui98    val mispred_mask = Output(Vec(numBr+1, Bool()))
27809c6f1ddSLingrui98
27909c6f1ddSLingrui98    // for perf counters
28009c6f1ddSLingrui98    val is_init_entry = Output(Bool())
28109c6f1ddSLingrui98    val is_old_entry = Output(Bool())
28209c6f1ddSLingrui98    val is_new_br = Output(Bool())
28309c6f1ddSLingrui98    val is_jalr_target_modified = Output(Bool())
28409c6f1ddSLingrui98    val is_always_taken_modified = Output(Bool())
28509c6f1ddSLingrui98    val is_br_full = Output(Bool())
28609c6f1ddSLingrui98  })
28709c6f1ddSLingrui98
28809c6f1ddSLingrui98  // no mispredictions detected at predecode
28909c6f1ddSLingrui98  val hit = io.hit
29009c6f1ddSLingrui98  val pd = io.pd
29109c6f1ddSLingrui98
29209c6f1ddSLingrui98  val init_entry = WireInit(0.U.asTypeOf(new FTBEntry))
29309c6f1ddSLingrui98
29409c6f1ddSLingrui98
29509c6f1ddSLingrui98  val cfi_is_br = pd.brMask(io.cfiIndex.bits) && io.cfiIndex.valid
29609c6f1ddSLingrui98  val entry_has_jmp = pd.jmpInfo.valid
29709c6f1ddSLingrui98  val new_jmp_is_jal  = entry_has_jmp && !pd.jmpInfo.bits(0) && io.cfiIndex.valid
29809c6f1ddSLingrui98  val new_jmp_is_jalr = entry_has_jmp &&  pd.jmpInfo.bits(0) && io.cfiIndex.valid
29909c6f1ddSLingrui98  val new_jmp_is_call = entry_has_jmp &&  pd.jmpInfo.bits(1) && io.cfiIndex.valid
30009c6f1ddSLingrui98  val new_jmp_is_ret  = entry_has_jmp &&  pd.jmpInfo.bits(2) && io.cfiIndex.valid
30109c6f1ddSLingrui98  val last_jmp_rvi = entry_has_jmp && pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask.last
30209c6f1ddSLingrui98  val last_br_rvi = cfi_is_br && io.cfiIndex.bits === (PredictWidth-1).U && !pd.rvcMask.last
30309c6f1ddSLingrui98
30409c6f1ddSLingrui98  val cfi_is_jal = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jal
30509c6f1ddSLingrui98  val cfi_is_jalr = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jalr
30609c6f1ddSLingrui98
30709c6f1ddSLingrui98  def carryPos = log2Ceil(PredictWidth)+instOffsetBits+1
30809c6f1ddSLingrui98  def getLower(pc: UInt) = pc(carryPos-1, instOffsetBits)
30909c6f1ddSLingrui98  // if not hit, establish a new entry
31009c6f1ddSLingrui98  init_entry.valid := true.B
31109c6f1ddSLingrui98  // tag is left for ftb to assign
312eeb5ff92SLingrui98
313eeb5ff92SLingrui98  // case br
314eeb5ff92SLingrui98  val init_br_slot = init_entry.getSlotForBr(0)
315eeb5ff92SLingrui98  when (cfi_is_br) {
316eeb5ff92SLingrui98    init_br_slot.valid := true.B
317eeb5ff92SLingrui98    init_br_slot.offset := io.cfiIndex.bits
318eeb5ff92SLingrui98    init_br_slot.setLowerStatByTarget(io.start_addr, io.target, shareTailSlot && numBr == 1)
319eeb5ff92SLingrui98    init_entry.always_taken(0) := true.B // set to always taken on init
320eeb5ff92SLingrui98  }
321eeb5ff92SLingrui98  // init_entry.isBrSharing := shareTailSlot.B && (numBr == 1).B && cfi_is_br
322eeb5ff92SLingrui98
323eeb5ff92SLingrui98  // case jmp
324eeb5ff92SLingrui98  when (entry_has_jmp) {
325eeb5ff92SLingrui98    init_entry.tailSlot.offset := pd.jmpOffset
326eeb5ff92SLingrui98    init_entry.tailSlot.valid := new_jmp_is_jal || new_jmp_is_jalr
327eeb5ff92SLingrui98    init_entry.tailSlot.setLowerStatByTarget(io.start_addr, Mux(cfi_is_jalr, io.target, pd.jalTarget), isShare=false)
328eeb5ff92SLingrui98  }
329eeb5ff92SLingrui98
33009c6f1ddSLingrui98  val jmpPft = getLower(io.start_addr) +& pd.jmpOffset +& Mux(pd.rvcMask(pd.jmpOffset), 1.U, 2.U)
33109c6f1ddSLingrui98  init_entry.pftAddr := Mux(entry_has_jmp, jmpPft, getLower(io.start_addr) + ((FetchWidth*4)>>instOffsetBits).U + Mux(last_br_rvi, 1.U, 0.U))
33265fddcf0Szoujr  init_entry.carry   := Mux(entry_has_jmp, jmpPft(carryPos-instOffsetBits), io.start_addr(carryPos-1) || (io.start_addr(carryPos-2, instOffsetBits).andR && last_br_rvi))
33309c6f1ddSLingrui98  init_entry.isJalr := new_jmp_is_jalr
33409c6f1ddSLingrui98  init_entry.isCall := new_jmp_is_call
33509c6f1ddSLingrui98  init_entry.isRet  := new_jmp_is_ret
33609c6f1ddSLingrui98  init_entry.last_is_rvc := Mux(entry_has_jmp, pd.rvcMask(pd.jmpOffset), pd.rvcMask.last)
33709c6f1ddSLingrui98
33809c6f1ddSLingrui98  init_entry.oversize := last_br_rvi || last_jmp_rvi
33909c6f1ddSLingrui98
34009c6f1ddSLingrui98  // if hit, check whether a new cfi(only br is possible) is detected
34109c6f1ddSLingrui98  val oe = io.old_entry
342eeb5ff92SLingrui98  val br_recorded_vec = oe.getBrRecordedVec(io.cfiIndex.bits)
34309c6f1ddSLingrui98  val br_recorded = br_recorded_vec.asUInt.orR
34409c6f1ddSLingrui98  val is_new_br = cfi_is_br && !br_recorded
34509c6f1ddSLingrui98  val new_br_offset = io.cfiIndex.bits
34609c6f1ddSLingrui98  // vec(i) means new br will be inserted BEFORE old br(i)
347eeb5ff92SLingrui98  val allBrSlotsVec = oe.allSlotsForBr
34809c6f1ddSLingrui98  val new_br_insert_onehot = VecInit((0 until numBr).map{
34909c6f1ddSLingrui98    i => i match {
350eeb5ff92SLingrui98      case 0 =>
351eeb5ff92SLingrui98        !allBrSlotsVec(0).valid || new_br_offset < allBrSlotsVec(0).offset
352eeb5ff92SLingrui98      case idx =>
353eeb5ff92SLingrui98        allBrSlotsVec(idx-1).valid && new_br_offset > allBrSlotsVec(idx-1).offset &&
354eeb5ff92SLingrui98        (!allBrSlotsVec(idx).valid || new_br_offset < allBrSlotsVec(idx).offset)
35509c6f1ddSLingrui98    }
35609c6f1ddSLingrui98  })
35709c6f1ddSLingrui98
35809c6f1ddSLingrui98  val old_entry_modified = WireInit(io.old_entry)
35909c6f1ddSLingrui98  for (i <- 0 until numBr) {
360eeb5ff92SLingrui98    val slot = old_entry_modified.allSlotsForBr(i)
361eeb5ff92SLingrui98    when (new_br_insert_onehot(i)) {
362eeb5ff92SLingrui98      slot.valid := true.B
363eeb5ff92SLingrui98      slot.offset := new_br_offset
364eeb5ff92SLingrui98      slot.setLowerStatByTarget(io.start_addr, io.target, shareTailSlot && i == numBr-1)
365eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := true.B
366eeb5ff92SLingrui98    }.elsewhen (new_br_offset > oe.allSlotsForBr(i).offset) {
367eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := false.B
368eeb5ff92SLingrui98      // all other fields remain unchanged
369eeb5ff92SLingrui98    }.otherwise {
370eeb5ff92SLingrui98      // case i == 0, remain unchanged
371eeb5ff92SLingrui98      if (i != 0) {
372eeb5ff92SLingrui98        val noNeedToMoveFromFormerSlot = (shareTailSlot && i == numBr-1).B && !oe.brSlots.last.valid
373eeb5ff92SLingrui98        when (!noNeedToMoveFromFormerSlot) {
374eeb5ff92SLingrui98          slot.fromAnotherSlot(oe.allSlotsForBr(i-1))
375eeb5ff92SLingrui98          old_entry_modified.always_taken(i) := oe.always_taken(i)
37609c6f1ddSLingrui98        }
377eeb5ff92SLingrui98      }
378eeb5ff92SLingrui98    }
379eeb5ff92SLingrui98  }
38009c6f1ddSLingrui98
381eeb5ff92SLingrui98  // two circumstances:
382eeb5ff92SLingrui98  // 1. oe: | br | j  |, new br should be in front of j, thus addr of j should be new pft
383eeb5ff92SLingrui98  // 2. oe: | br | br |, new br could be anywhere between, thus new pft is the addr of either
384eeb5ff92SLingrui98  //        the previous last br or the new br
385eeb5ff92SLingrui98  val may_have_to_replace = oe.noEmptySlotForNewBr
386eeb5ff92SLingrui98  val pft_need_to_change = is_new_br && may_have_to_replace
38709c6f1ddSLingrui98  // it should either be the given last br or the new br
38809c6f1ddSLingrui98  when (pft_need_to_change) {
389eeb5ff92SLingrui98    val new_pft_offset =
390710a8720SLingrui98      Mux(!new_br_insert_onehot.asUInt.orR,
391710a8720SLingrui98        new_br_offset, oe.allSlotsForBr.last.offset)
392eeb5ff92SLingrui98
393710a8720SLingrui98    // set jmp to invalid
394710a8720SLingrui98    if (!shareTailSlot) {
395710a8720SLingrui98      old_entry_modified.tailSlot.valid := false.B
396710a8720SLingrui98    }
39709c6f1ddSLingrui98    old_entry_modified.pftAddr := getLower(io.start_addr) + new_pft_offset
39809c6f1ddSLingrui98    old_entry_modified.last_is_rvc := pd.rvcMask(new_pft_offset - 1.U) // TODO: fix this
39909c6f1ddSLingrui98    old_entry_modified.carry := (getLower(io.start_addr) +& new_pft_offset).head(1).asBool
40009c6f1ddSLingrui98    old_entry_modified.oversize := false.B
40109c6f1ddSLingrui98    old_entry_modified.isCall := false.B
40209c6f1ddSLingrui98    old_entry_modified.isRet := false.B
403eeb5ff92SLingrui98    old_entry_modified.isJalr := false.B
40409c6f1ddSLingrui98  }
40509c6f1ddSLingrui98
40609c6f1ddSLingrui98  val old_entry_jmp_target_modified = WireInit(oe)
407710a8720SLingrui98  val old_target = oe.tailSlot.getTarget(io.start_addr) // may be wrong because we store only 20 lowest bits
408710a8720SLingrui98  val old_tail_is_jmp = !oe.tailSlot.sharing || !shareTailSlot.B
409eeb5ff92SLingrui98  val jalr_target_modified = cfi_is_jalr && (old_target =/= io.target) && old_tail_is_jmp // TODO: pass full jalr target
4103bcae573SLingrui98  when (jalr_target_modified) {
41109c6f1ddSLingrui98    old_entry_jmp_target_modified.setByJmpTarget(io.start_addr, io.target)
41209c6f1ddSLingrui98    old_entry_jmp_target_modified.always_taken := 0.U.asTypeOf(Vec(numBr, Bool()))
41309c6f1ddSLingrui98  }
41409c6f1ddSLingrui98
41509c6f1ddSLingrui98  val old_entry_always_taken = WireInit(oe)
41609c6f1ddSLingrui98  val always_taken_modified_vec = Wire(Vec(numBr, Bool())) // whether modified or not
41709c6f1ddSLingrui98  for (i <- 0 until numBr) {
41809c6f1ddSLingrui98    old_entry_always_taken.always_taken(i) :=
41909c6f1ddSLingrui98      oe.always_taken(i) && io.cfiIndex.valid && oe.brValids(i) && io.cfiIndex.bits === oe.brOffset(i)
420710a8720SLingrui98    always_taken_modified_vec(i) := oe.always_taken(i) && !old_entry_always_taken.always_taken(i)
42109c6f1ddSLingrui98  }
42209c6f1ddSLingrui98  val always_taken_modified = always_taken_modified_vec.reduce(_||_)
42309c6f1ddSLingrui98
42409c6f1ddSLingrui98
42509c6f1ddSLingrui98
42609c6f1ddSLingrui98  val derived_from_old_entry =
42709c6f1ddSLingrui98    Mux(is_new_br, old_entry_modified,
4283bcae573SLingrui98      Mux(jalr_target_modified, old_entry_jmp_target_modified, old_entry_always_taken))
42909c6f1ddSLingrui98
43009c6f1ddSLingrui98
43109c6f1ddSLingrui98  io.new_entry := Mux(!hit, init_entry, derived_from_old_entry)
43209c6f1ddSLingrui98
43309c6f1ddSLingrui98  io.new_br_insert_pos := new_br_insert_onehot
43409c6f1ddSLingrui98  io.taken_mask := VecInit((io.new_entry.brOffset zip io.new_entry.brValids).map{
43509c6f1ddSLingrui98    case (off, v) => io.cfiIndex.bits === off && io.cfiIndex.valid && v
43609c6f1ddSLingrui98  })
43709c6f1ddSLingrui98  for (i <- 0 until numBr) {
43809c6f1ddSLingrui98    io.mispred_mask(i) := io.new_entry.brValids(i) && io.mispredict_vec(io.new_entry.brOffset(i))
43909c6f1ddSLingrui98  }
44009c6f1ddSLingrui98  io.mispred_mask.last := io.new_entry.jmpValid && io.mispredict_vec(pd.jmpOffset)
44109c6f1ddSLingrui98
44209c6f1ddSLingrui98  // for perf counters
44309c6f1ddSLingrui98  io.is_init_entry := !hit
4443bcae573SLingrui98  io.is_old_entry := hit && !is_new_br && !jalr_target_modified && !always_taken_modified
44509c6f1ddSLingrui98  io.is_new_br := hit && is_new_br
4463bcae573SLingrui98  io.is_jalr_target_modified := hit && jalr_target_modified
44709c6f1ddSLingrui98  io.is_always_taken_modified := hit && always_taken_modified
448eeb5ff92SLingrui98  io.is_br_full := hit && is_new_br && may_have_to_replace
44909c6f1ddSLingrui98}
45009c6f1ddSLingrui98
45109c6f1ddSLingrui98class Ftq(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper
45209c6f1ddSLingrui98  with HasBackendRedirectInfo with BPUUtils with HasBPUConst {
45309c6f1ddSLingrui98  val io = IO(new Bundle {
45409c6f1ddSLingrui98    val fromBpu = Flipped(new BpuToFtqIO)
45509c6f1ddSLingrui98    val fromIfu = Flipped(new IfuToFtqIO)
45609c6f1ddSLingrui98    val fromBackend = Flipped(new CtrlToFtqIO)
45709c6f1ddSLingrui98
45809c6f1ddSLingrui98    val toBpu = new FtqToBpuIO
45909c6f1ddSLingrui98    val toIfu = new FtqToIfuIO
46009c6f1ddSLingrui98    val toBackend = new FtqToCtrlIO
46109c6f1ddSLingrui98
46209c6f1ddSLingrui98    val bpuInfo = new Bundle {
46309c6f1ddSLingrui98      val bpRight = Output(UInt(XLEN.W))
46409c6f1ddSLingrui98      val bpWrong = Output(UInt(XLEN.W))
46509c6f1ddSLingrui98    }
46609c6f1ddSLingrui98  })
46709c6f1ddSLingrui98  io.bpuInfo := DontCare
46809c6f1ddSLingrui98
4699aca92b9SYinan Xu  val robFlush = io.fromBackend.robFlush
47009c6f1ddSLingrui98  val stage2Redirect = io.fromBackend.stage2Redirect
47109c6f1ddSLingrui98  val stage3Redirect = io.fromBackend.stage3Redirect
47209c6f1ddSLingrui98
4739aca92b9SYinan Xu  val stage2Flush = stage2Redirect.valid || robFlush.valid
47409c6f1ddSLingrui98  val backendFlush = stage2Flush || RegNext(stage2Flush)
47509c6f1ddSLingrui98  val ifuFlush = Wire(Bool())
47609c6f1ddSLingrui98
47709c6f1ddSLingrui98  val flush = stage2Flush || RegNext(stage2Flush)
47809c6f1ddSLingrui98
47909c6f1ddSLingrui98  val allowBpuIn, allowToIfu = WireInit(false.B)
48009c6f1ddSLingrui98  val flushToIfu = !allowToIfu
4819aca92b9SYinan Xu  allowBpuIn := !ifuFlush && !robFlush.valid && !stage2Redirect.valid && !stage3Redirect.valid
4829aca92b9SYinan Xu  allowToIfu := !ifuFlush && !robFlush.valid && !stage2Redirect.valid && !stage3Redirect.valid
48309c6f1ddSLingrui98
48409c6f1ddSLingrui98  val bpuPtr, ifuPtr, ifuWbPtr, commPtr = RegInit(FtqPtr(false.B, 0.U))
48509c6f1ddSLingrui98  val validEntries = distanceBetween(bpuPtr, commPtr)
48609c6f1ddSLingrui98
48709c6f1ddSLingrui98  // **********************************************************************
48809c6f1ddSLingrui98  // **************************** enq from bpu ****************************
48909c6f1ddSLingrui98  // **********************************************************************
49009c6f1ddSLingrui98  val new_entry_ready = validEntries < FtqSize.U
49109c6f1ddSLingrui98  io.fromBpu.resp.ready := new_entry_ready
49209c6f1ddSLingrui98
49309c6f1ddSLingrui98  val bpu_s2_resp = io.fromBpu.resp.bits.s2
494*3e52bed1SLingrui98  // val bpu_s3_resp = io.fromBpu.resp.bits.s3
49509c6f1ddSLingrui98  val bpu_s2_redirect = bpu_s2_resp.valid && bpu_s2_resp.hasRedirect
496*3e52bed1SLingrui98  // val bpu_s3_redirect = bpu_s3_resp.valid && bpu_s3_resp.hasRedirect
49709c6f1ddSLingrui98
49809c6f1ddSLingrui98  io.toBpu.enq_ptr := bpuPtr
49909c6f1ddSLingrui98  val enq_fire = io.fromBpu.resp.fire() && allowBpuIn // from bpu s1
500*3e52bed1SLingrui98  val bpu_in_fire = (io.fromBpu.resp.fire() || bpu_s2_redirect/*  || bpu_s3_redirect */) && allowBpuIn
50109c6f1ddSLingrui98
50209c6f1ddSLingrui98  val bpu_in_resp = WireInit(io.fromBpu.resp.bits.selectedResp)
50309c6f1ddSLingrui98  val bpu_in_stage = WireInit(io.fromBpu.resp.bits.selectedRespIdx)
50409c6f1ddSLingrui98  val bpu_in_resp_ptr = Mux(bpu_in_stage === BP_S1, bpuPtr, bpu_in_resp.ftq_idx)
50509c6f1ddSLingrui98  val bpu_in_resp_idx = bpu_in_resp_ptr.value
50609c6f1ddSLingrui98
5079aca92b9SYinan Xu  // read ports:                            jumpPc + redirects + loadPred + robFlush + ifuReq1 + ifuReq2 + commitUpdate
50809c6f1ddSLingrui98  val ftq_pc_mem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize, 1+numRedirect+2+1+1+1, 1))
50909c6f1ddSLingrui98  // resp from uBTB
51009c6f1ddSLingrui98  ftq_pc_mem.io.wen(0) := bpu_in_fire
51109c6f1ddSLingrui98  ftq_pc_mem.io.waddr(0) := bpu_in_resp_idx
51209c6f1ddSLingrui98  ftq_pc_mem.io.wdata(0).fromBranchPrediction(bpu_in_resp)
51309c6f1ddSLingrui98
51409c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
51509c6f1ddSLingrui98  val ftq_redirect_sram = Module(new FtqNRSRAM(new Ftq_Redirect_SRAMEntry, 1+1+1))
51609c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
51709c6f1ddSLingrui98  ftq_redirect_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
51809c6f1ddSLingrui98  ftq_redirect_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
51909c6f1ddSLingrui98  ftq_redirect_sram.io.wdata.fromBranchPrediction(io.fromBpu.resp.bits.lastStage)
52009c6f1ddSLingrui98
52109c6f1ddSLingrui98  val ftq_meta_1r_sram = Module(new FtqNRSRAM(new Ftq_1R_SRAMEntry, 1))
52209c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
52309c6f1ddSLingrui98  ftq_meta_1r_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
52409c6f1ddSLingrui98  ftq_meta_1r_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
52509c6f1ddSLingrui98  ftq_meta_1r_sram.io.wdata.meta := io.fromBpu.resp.bits.meta
52609c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
52709c6f1ddSLingrui98  val ftb_entry_mem = Module(new SyncDataModuleTemplate(new FTBEntry, FtqSize, 1+1+1, 1))
52809c6f1ddSLingrui98  ftb_entry_mem.io.wen(0) := io.fromBpu.resp.bits.lastStage.valid
52909c6f1ddSLingrui98  ftb_entry_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
53009c6f1ddSLingrui98  ftb_entry_mem.io.wdata(0) := io.fromBpu.resp.bits.lastStage.ftb_entry
53109c6f1ddSLingrui98
53209c6f1ddSLingrui98
53309c6f1ddSLingrui98  // multi-write
53409c6f1ddSLingrui98  val update_target = Reg(Vec(FtqSize, UInt(VAddrBits.W)))
53509c6f1ddSLingrui98  val cfiIndex_vec = Reg(Vec(FtqSize, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))))
53609c6f1ddSLingrui98  val mispredict_vec = Reg(Vec(FtqSize, Vec(PredictWidth, Bool())))
53709c6f1ddSLingrui98  val pred_stage = Reg(Vec(FtqSize, UInt(2.W)))
53809c6f1ddSLingrui98
53909c6f1ddSLingrui98  val c_invalid :: c_valid :: c_commited :: Nil = Enum(3)
54009c6f1ddSLingrui98  val commitStateQueue = RegInit(VecInit(Seq.fill(FtqSize) {
54109c6f1ddSLingrui98    VecInit(Seq.fill(PredictWidth)(c_invalid))
54209c6f1ddSLingrui98  }))
54309c6f1ddSLingrui98
54409c6f1ddSLingrui98  val f_to_send :: f_sent :: Nil = Enum(2)
54509c6f1ddSLingrui98  val entry_fetch_status = RegInit(VecInit(Seq.fill(FtqSize)(f_sent)))
54609c6f1ddSLingrui98
54709c6f1ddSLingrui98  val h_not_hit :: h_false_hit :: h_hit :: Nil = Enum(3)
54809c6f1ddSLingrui98  val entry_hit_status = RegInit(VecInit(Seq.fill(FtqSize)(h_not_hit)))
54909c6f1ddSLingrui98
55009c6f1ddSLingrui98
55109c6f1ddSLingrui98  when (bpu_in_fire) {
55209c6f1ddSLingrui98    entry_fetch_status(bpu_in_resp_idx) := f_to_send
55309c6f1ddSLingrui98    commitStateQueue(bpu_in_resp_idx) := VecInit(Seq.fill(PredictWidth)(c_invalid))
55409c6f1ddSLingrui98    cfiIndex_vec(bpu_in_resp_idx) := bpu_in_resp.genCfiIndex
55509c6f1ddSLingrui98    mispredict_vec(bpu_in_resp_idx) := WireInit(VecInit(Seq.fill(PredictWidth)(false.B)))
55609c6f1ddSLingrui98    update_target(bpu_in_resp_idx) := bpu_in_resp.target
55709c6f1ddSLingrui98    pred_stage(bpu_in_resp_idx) := bpu_in_stage
55809c6f1ddSLingrui98  }
55909c6f1ddSLingrui98
56009c6f1ddSLingrui98  bpuPtr := bpuPtr + enq_fire
56109c6f1ddSLingrui98  ifuPtr := ifuPtr + io.toIfu.req.fire
56209c6f1ddSLingrui98
56309c6f1ddSLingrui98  // only use ftb result to assign hit status
56409c6f1ddSLingrui98  when (bpu_s2_resp.valid) {
56509c6f1ddSLingrui98    entry_hit_status(bpu_s2_resp.ftq_idx.value) := Mux(bpu_s2_resp.preds.hit, h_hit, h_not_hit)
56609c6f1ddSLingrui98  }
56709c6f1ddSLingrui98
56809c6f1ddSLingrui98
5692f4a3aa4SLingrui98  io.toIfu.flushFromBpu.s2.valid := bpu_s2_redirect
57009c6f1ddSLingrui98  io.toIfu.flushFromBpu.s2.bits := bpu_s2_resp.ftq_idx
57109c6f1ddSLingrui98  when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect) {
57209c6f1ddSLingrui98    bpuPtr := bpu_s2_resp.ftq_idx + 1.U
57309c6f1ddSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
57409c6f1ddSLingrui98    when (!isBefore(ifuPtr, bpu_s2_resp.ftq_idx)) {
57509c6f1ddSLingrui98      ifuPtr := bpu_s2_resp.ftq_idx
57609c6f1ddSLingrui98    }
57709c6f1ddSLingrui98  }
57809c6f1ddSLingrui98
579*3e52bed1SLingrui98  // io.toIfu.flushFromBpu.s3.valid := bpu_s3_redirect
580*3e52bed1SLingrui98  // io.toIfu.flushFromBpu.s3.bits := bpu_s3_resp.ftq_idx
581*3e52bed1SLingrui98  // when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect) {
582*3e52bed1SLingrui98  //   bpuPtr := bpu_s3_resp.ftq_idx + 1.U
583*3e52bed1SLingrui98  //   // only when ifuPtr runs ahead of bpu s2 resp should we recover it
584*3e52bed1SLingrui98  //   when (!isBefore(ifuPtr, bpu_s3_resp.ftq_idx)) {
585*3e52bed1SLingrui98  //     ifuPtr := bpu_s3_resp.ftq_idx
586*3e52bed1SLingrui98  //   }
587*3e52bed1SLingrui98  //   XSError(true.B, "\ns3_redirect mechanism not implemented!\n")
588*3e52bed1SLingrui98  // }
58909c6f1ddSLingrui98
59009c6f1ddSLingrui98  XSError(isBefore(bpuPtr, ifuPtr) && !isFull(bpuPtr, ifuPtr), "\nifuPtr is before bpuPtr!\n")
59109c6f1ddSLingrui98
59209c6f1ddSLingrui98  // ****************************************************************
59309c6f1ddSLingrui98  // **************************** to ifu ****************************
59409c6f1ddSLingrui98  // ****************************************************************
59509c6f1ddSLingrui98  val bpu_in_bypass_buf = RegEnable(ftq_pc_mem.io.wdata(0), enable=bpu_in_fire)
59609c6f1ddSLingrui98  val bpu_in_bypass_ptr = RegNext(bpu_in_resp_ptr)
59709c6f1ddSLingrui98  val last_cycle_bpu_in = RegNext(bpu_in_fire)
59809c6f1ddSLingrui98  val last_cycle_to_ifu_fire = RegNext(io.toIfu.req.fire)
59909c6f1ddSLingrui98
60009c6f1ddSLingrui98  // read pc and target
60109c6f1ddSLingrui98  ftq_pc_mem.io.raddr.init.init.last := ifuPtr.value
60209c6f1ddSLingrui98  ftq_pc_mem.io.raddr.init.last := (ifuPtr+1.U).value
60309c6f1ddSLingrui98
6045ff19bd8SLingrui98  io.toIfu.req.valid := allowToIfu && entry_fetch_status(ifuPtr.value) === f_to_send && ifuPtr =/= bpuPtr
6055ff19bd8SLingrui98  io.toIfu.req.bits.ftqIdx := ifuPtr
6065ff19bd8SLingrui98  io.toIfu.req.bits.target := update_target(ifuPtr.value)
6075ff19bd8SLingrui98  io.toIfu.req.bits.ftqOffset := cfiIndex_vec(ifuPtr.value)
60809c6f1ddSLingrui98
60909c6f1ddSLingrui98  when (last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) {
6105ff19bd8SLingrui98    io.toIfu.req.bits.fromFtqPcBundle(bpu_in_bypass_buf)
61109c6f1ddSLingrui98  }.elsewhen (last_cycle_to_ifu_fire) {
6125ff19bd8SLingrui98    io.toIfu.req.bits.fromFtqPcBundle(ftq_pc_mem.io.rdata.init.last)
61309c6f1ddSLingrui98  }.otherwise {
6145ff19bd8SLingrui98    io.toIfu.req.bits.fromFtqPcBundle(ftq_pc_mem.io.rdata.init.init.last)
61509c6f1ddSLingrui98  }
61609c6f1ddSLingrui98
61709c6f1ddSLingrui98  // when fall through is smaller in value than start address, there must be a false hit
6185ff19bd8SLingrui98  when (io.toIfu.req.bits.fallThruError && entry_hit_status(ifuPtr.value) === h_hit) {
61909c6f1ddSLingrui98    when (io.toIfu.req.fire &&
620*3e52bed1SLingrui98      !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr)/*  &&
621*3e52bed1SLingrui98      !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr) */
62209c6f1ddSLingrui98    ) {
62309c6f1ddSLingrui98      entry_hit_status(ifuPtr.value) := h_false_hit
6245ff19bd8SLingrui98      XSDebug(true.B, "FTB false hit by fallThroughError, startAddr: %x, fallTHru: %x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.fallThruAddr)
62509c6f1ddSLingrui98    }
6265ff19bd8SLingrui98    XSDebug(true.B, "fallThruError! start:%x, fallThru:%x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.fallThruAddr)
62709c6f1ddSLingrui98  }
62809c6f1ddSLingrui98
62909c6f1ddSLingrui98  val ifu_req_should_be_flushed =
630*3e52bed1SLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage2(io.toIfu.req.bits.ftqIdx)/*  ||
631*3e52bed1SLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage3(io.toIfu.req.bits.ftqIdx) */
63209c6f1ddSLingrui98
63309c6f1ddSLingrui98  when (io.toIfu.req.fire && !ifu_req_should_be_flushed) {
63409c6f1ddSLingrui98    entry_fetch_status(ifuPtr.value) := f_sent
63509c6f1ddSLingrui98  }
63609c6f1ddSLingrui98
63709c6f1ddSLingrui98
63809c6f1ddSLingrui98  // *********************************************************************
63909c6f1ddSLingrui98  // **************************** wb from ifu ****************************
64009c6f1ddSLingrui98  // *********************************************************************
64109c6f1ddSLingrui98  val pdWb = io.fromIfu.pdWb
64209c6f1ddSLingrui98  val pds = pdWb.bits.pd
64309c6f1ddSLingrui98  val ifu_wb_valid = pdWb.valid
64409c6f1ddSLingrui98  val ifu_wb_idx = pdWb.bits.ftqIdx.value
64509c6f1ddSLingrui98  // read ports:                                                         commit update
64609c6f1ddSLingrui98  val ftq_pd_mem = Module(new SyncDataModuleTemplate(new Ftq_pd_Entry, FtqSize, 1, 1))
64709c6f1ddSLingrui98  ftq_pd_mem.io.wen(0) := ifu_wb_valid
64809c6f1ddSLingrui98  ftq_pd_mem.io.waddr(0) := pdWb.bits.ftqIdx.value
64909c6f1ddSLingrui98  ftq_pd_mem.io.wdata(0).fromPdWb(pdWb.bits)
65009c6f1ddSLingrui98
65109c6f1ddSLingrui98  val hit_pd_valid = entry_hit_status(ifu_wb_idx) === h_hit && ifu_wb_valid
65209c6f1ddSLingrui98  val hit_pd_mispred = hit_pd_valid && pdWb.bits.misOffset.valid
65309c6f1ddSLingrui98  val hit_pd_mispred_reg = RegNext(hit_pd_mispred, init=false.B)
65409c6f1ddSLingrui98  val pd_reg       = RegEnable(pds,             enable = pdWb.valid)
65509c6f1ddSLingrui98  val start_pc_reg = RegEnable(pdWb.bits.pc(0), enable = pdWb.valid)
65609c6f1ddSLingrui98  val wb_idx_reg   = RegEnable(ifu_wb_idx,      enable = pdWb.valid)
65709c6f1ddSLingrui98
65809c6f1ddSLingrui98  when (ifu_wb_valid) {
65909c6f1ddSLingrui98    val comm_stq_wen = VecInit(pds.map(_.valid).zip(pdWb.bits.instrRange).map{
66009c6f1ddSLingrui98      case (v, inRange) => v && inRange
66109c6f1ddSLingrui98    })
66209c6f1ddSLingrui98    (commitStateQueue(ifu_wb_idx) zip comm_stq_wen).map{
66309c6f1ddSLingrui98      case (qe, v) => when (v) { qe := c_valid }
66409c6f1ddSLingrui98    }
66509c6f1ddSLingrui98  }
66609c6f1ddSLingrui98
66709c6f1ddSLingrui98  ifuWbPtr := ifuWbPtr + ifu_wb_valid
66809c6f1ddSLingrui98
66909c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := ifu_wb_idx
67009c6f1ddSLingrui98  val has_false_hit = WireInit(false.B)
67109c6f1ddSLingrui98  when (RegNext(hit_pd_valid)) {
67209c6f1ddSLingrui98    // check for false hit
67309c6f1ddSLingrui98    val pred_ftb_entry = ftb_entry_mem.io.rdata.head
674eeb5ff92SLingrui98    val brSlots = pred_ftb_entry.brSlots
675eeb5ff92SLingrui98    val tailSlot = pred_ftb_entry.tailSlot
67609c6f1ddSLingrui98    // we check cfis that bpu predicted
67709c6f1ddSLingrui98
678eeb5ff92SLingrui98    // bpu predicted branches but denied by predecode
679eeb5ff92SLingrui98    val br_false_hit =
680eeb5ff92SLingrui98      brSlots.map{
681eeb5ff92SLingrui98        s => s.valid && !(pd_reg(s.offset).valid && pd_reg(s.offset).isBr)
682eeb5ff92SLingrui98      }.reduce(_||_) ||
683eeb5ff92SLingrui98      (shareTailSlot.B && tailSlot.valid && pred_ftb_entry.tailSlot.sharing &&
684eeb5ff92SLingrui98        !(pd_reg(tailSlot.offset).valid && pd_reg(tailSlot.offset).isBr))
685eeb5ff92SLingrui98
686eeb5ff92SLingrui98    val jmpOffset = tailSlot.offset
68709c6f1ddSLingrui98    val jmp_pd = pd_reg(jmpOffset)
68809c6f1ddSLingrui98    val jal_false_hit = pred_ftb_entry.jmpValid &&
68909c6f1ddSLingrui98      ((pred_ftb_entry.isJal  && !(jmp_pd.valid && jmp_pd.isJal)) ||
69009c6f1ddSLingrui98       (pred_ftb_entry.isJalr && !(jmp_pd.valid && jmp_pd.isJalr)) ||
69109c6f1ddSLingrui98       (pred_ftb_entry.isCall && !(jmp_pd.valid && jmp_pd.isCall)) ||
69209c6f1ddSLingrui98       (pred_ftb_entry.isRet  && !(jmp_pd.valid && jmp_pd.isRet))
69309c6f1ddSLingrui98      )
69409c6f1ddSLingrui98
69509c6f1ddSLingrui98    has_false_hit := br_false_hit || jal_false_hit || hit_pd_mispred_reg
69665fddcf0Szoujr    XSDebug(has_false_hit, "FTB false hit by br or jal or hit_pd, startAddr: %x\n", pdWb.bits.pc(0))
69765fddcf0Szoujr
69865fddcf0Szoujr    // assert(!has_false_hit)
69909c6f1ddSLingrui98  }
70009c6f1ddSLingrui98
70109c6f1ddSLingrui98  when (has_false_hit) {
70209c6f1ddSLingrui98    entry_hit_status(wb_idx_reg) := h_false_hit
70309c6f1ddSLingrui98  }
70409c6f1ddSLingrui98
70509c6f1ddSLingrui98
70609c6f1ddSLingrui98  // **********************************************************************
70709c6f1ddSLingrui98  // **************************** backend read ****************************
70809c6f1ddSLingrui98  // **********************************************************************
70909c6f1ddSLingrui98
71009c6f1ddSLingrui98  // pc reads
71109c6f1ddSLingrui98  for ((req, i) <- io.toBackend.pc_reads.zipWithIndex) {
71209c6f1ddSLingrui98    ftq_pc_mem.io.raddr(i) := req.ptr.value
71309c6f1ddSLingrui98    req.data := ftq_pc_mem.io.rdata(i).getPc(RegNext(req.offset))
71409c6f1ddSLingrui98  }
71509c6f1ddSLingrui98  // target read
71609c6f1ddSLingrui98  io.toBackend.target_read.data := RegNext(update_target(io.toBackend.target_read.ptr.value))
71709c6f1ddSLingrui98
71809c6f1ddSLingrui98  // *******************************************************************************
71909c6f1ddSLingrui98  // **************************** redirect from backend ****************************
72009c6f1ddSLingrui98  // *******************************************************************************
72109c6f1ddSLingrui98
72209c6f1ddSLingrui98  // redirect read cfiInfo, couples to redirectGen s2
72309c6f1ddSLingrui98  ftq_redirect_sram.io.ren.init.last := io.fromBackend.stage2Redirect.valid
72409c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.init.last := io.fromBackend.stage2Redirect.bits.ftqIdx.value
72509c6f1ddSLingrui98
72609c6f1ddSLingrui98  ftb_entry_mem.io.raddr.init.last := io.fromBackend.stage2Redirect.bits.ftqIdx.value
72709c6f1ddSLingrui98
72809c6f1ddSLingrui98  val stage3CfiInfo = ftq_redirect_sram.io.rdata.init.last
72909c6f1ddSLingrui98  val fromBackendRedirect = WireInit(io.fromBackend.stage3Redirect)
73009c6f1ddSLingrui98  val backendRedirectCfi = fromBackendRedirect.bits.cfiUpdate
73109c6f1ddSLingrui98  backendRedirectCfi.fromFtqRedirectSram(stage3CfiInfo)
73209c6f1ddSLingrui98
73309c6f1ddSLingrui98  val r_ftb_entry = ftb_entry_mem.io.rdata.init.last
73409c6f1ddSLingrui98  val r_ftqOffset = fromBackendRedirect.bits.ftqOffset
73509c6f1ddSLingrui98
73609c6f1ddSLingrui98  when (entry_hit_status(fromBackendRedirect.bits.ftqIdx.value) === h_hit) {
73709c6f1ddSLingrui98    backendRedirectCfi.shift := PopCount(r_ftb_entry.getBrMaskByOffset(r_ftqOffset)) +&
73809c6f1ddSLingrui98      (backendRedirectCfi.pd.isBr && !r_ftb_entry.brIsSaved(r_ftqOffset) &&
739eeb5ff92SLingrui98      !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
74009c6f1ddSLingrui98
74109c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr && (r_ftb_entry.brIsSaved(r_ftqOffset) ||
742eeb5ff92SLingrui98        !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
74309c6f1ddSLingrui98  }.otherwise {
74409c6f1ddSLingrui98    backendRedirectCfi.shift := (backendRedirectCfi.pd.isBr && backendRedirectCfi.taken).asUInt
74509c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr.asUInt
74609c6f1ddSLingrui98  }
74709c6f1ddSLingrui98
74809c6f1ddSLingrui98
74909c6f1ddSLingrui98  // ***************************************************************************
75009c6f1ddSLingrui98  // **************************** redirect from ifu ****************************
75109c6f1ddSLingrui98  // ***************************************************************************
75209c6f1ddSLingrui98  val fromIfuRedirect = WireInit(0.U.asTypeOf(Valid(new Redirect)))
75309c6f1ddSLingrui98  fromIfuRedirect.valid := pdWb.valid && pdWb.bits.misOffset.valid && !backendFlush
75409c6f1ddSLingrui98  fromIfuRedirect.bits.ftqIdx := pdWb.bits.ftqIdx
75509c6f1ddSLingrui98  fromIfuRedirect.bits.ftqOffset := pdWb.bits.misOffset.bits
75609c6f1ddSLingrui98  fromIfuRedirect.bits.level := RedirectLevel.flushAfter
75709c6f1ddSLingrui98
75809c6f1ddSLingrui98  val ifuRedirectCfiUpdate = fromIfuRedirect.bits.cfiUpdate
75909c6f1ddSLingrui98  ifuRedirectCfiUpdate.pc := pdWb.bits.pc(pdWb.bits.misOffset.bits)
76009c6f1ddSLingrui98  ifuRedirectCfiUpdate.pd := pdWb.bits.pd(pdWb.bits.misOffset.bits)
76109c6f1ddSLingrui98  ifuRedirectCfiUpdate.predTaken := cfiIndex_vec(pdWb.bits.ftqIdx.value).valid
76209c6f1ddSLingrui98  ifuRedirectCfiUpdate.target := pdWb.bits.target
76309c6f1ddSLingrui98  ifuRedirectCfiUpdate.taken := pdWb.bits.cfiOffset.valid
76409c6f1ddSLingrui98  ifuRedirectCfiUpdate.isMisPred := pdWb.bits.misOffset.valid
76509c6f1ddSLingrui98
76609c6f1ddSLingrui98  val ifuRedirectReg = RegNext(fromIfuRedirect, init=0.U.asTypeOf(Valid(new Redirect)))
76709c6f1ddSLingrui98  val ifuRedirectToBpu = WireInit(ifuRedirectReg)
76809c6f1ddSLingrui98  ifuFlush := fromIfuRedirect.valid || ifuRedirectToBpu.valid
76909c6f1ddSLingrui98
77009c6f1ddSLingrui98  ftq_redirect_sram.io.ren.head := fromIfuRedirect.valid
77109c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
77209c6f1ddSLingrui98
77309c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
77409c6f1ddSLingrui98
77509c6f1ddSLingrui98  val toBpuCfi = ifuRedirectToBpu.bits.cfiUpdate
77609c6f1ddSLingrui98  toBpuCfi.fromFtqRedirectSram(ftq_redirect_sram.io.rdata.head)
77709c6f1ddSLingrui98  when (ifuRedirectReg.bits.cfiUpdate.pd.isRet) {
77809c6f1ddSLingrui98    toBpuCfi.target := toBpuCfi.rasEntry.retAddr
77909c6f1ddSLingrui98  }
78009c6f1ddSLingrui98
78109c6f1ddSLingrui98  // *********************************************************************
78209c6f1ddSLingrui98  // **************************** wb from exu ****************************
78309c6f1ddSLingrui98  // *********************************************************************
78409c6f1ddSLingrui98
78509c6f1ddSLingrui98  def extractRedirectInfo(wb: Valid[Redirect]) = {
78609c6f1ddSLingrui98    val ftqIdx = wb.bits.ftqIdx.value
78709c6f1ddSLingrui98    val ftqOffset = wb.bits.ftqOffset
78809c6f1ddSLingrui98    val taken = wb.bits.cfiUpdate.taken
78909c6f1ddSLingrui98    val mispred = wb.bits.cfiUpdate.isMisPred
79009c6f1ddSLingrui98    (wb.valid, ftqIdx, ftqOffset, taken, mispred)
79109c6f1ddSLingrui98  }
79209c6f1ddSLingrui98
79309c6f1ddSLingrui98  // fix mispredict entry
79409c6f1ddSLingrui98  val lastIsMispredict = RegNext(
79509c6f1ddSLingrui98    stage2Redirect.valid && stage2Redirect.bits.level === RedirectLevel.flushAfter, init = false.B
79609c6f1ddSLingrui98  )
79709c6f1ddSLingrui98
79809c6f1ddSLingrui98  def updateCfiInfo(redirect: Valid[Redirect], isBackend: Boolean = true) = {
79909c6f1ddSLingrui98    val (r_valid, r_idx, r_offset, r_taken, r_mispred) = extractRedirectInfo(redirect)
80009c6f1ddSLingrui98    val cfiIndex_bits_wen = r_valid && r_taken && r_offset < cfiIndex_vec(r_idx).bits
80109c6f1ddSLingrui98    val cfiIndex_valid_wen = r_valid && r_offset === cfiIndex_vec(r_idx).bits
80209c6f1ddSLingrui98    when (cfiIndex_bits_wen || cfiIndex_valid_wen) {
80309c6f1ddSLingrui98      cfiIndex_vec(r_idx).valid := cfiIndex_bits_wen || cfiIndex_valid_wen && r_taken
80409c6f1ddSLingrui98    }
80509c6f1ddSLingrui98    when (cfiIndex_bits_wen) {
80609c6f1ddSLingrui98      cfiIndex_vec(r_idx).bits := r_offset
80709c6f1ddSLingrui98    }
80809c6f1ddSLingrui98    update_target(r_idx) := redirect.bits.cfiUpdate.target
80909c6f1ddSLingrui98    if (isBackend) {
81009c6f1ddSLingrui98      mispredict_vec(r_idx)(r_offset) := r_mispred
81109c6f1ddSLingrui98    }
81209c6f1ddSLingrui98  }
81309c6f1ddSLingrui98
81409c6f1ddSLingrui98  when(stage3Redirect.valid && lastIsMispredict) {
81509c6f1ddSLingrui98    updateCfiInfo(stage3Redirect)
81609c6f1ddSLingrui98  }.elsewhen (ifuRedirectToBpu.valid) {
81709c6f1ddSLingrui98    updateCfiInfo(ifuRedirectToBpu, isBackend=false)
81809c6f1ddSLingrui98  }
81909c6f1ddSLingrui98
82009c6f1ddSLingrui98  // ***********************************************************************************
82109c6f1ddSLingrui98  // **************************** flush ptr and state queue ****************************
82209c6f1ddSLingrui98  // ***********************************************************************************
82309c6f1ddSLingrui98
8242f4a3aa4SLingrui98  val redirectVec = VecInit(robFlush, stage2Redirect, fromIfuRedirect)
82509c6f1ddSLingrui98
82609c6f1ddSLingrui98  // when redirect, we should reset ptrs and status queues
82709c6f1ddSLingrui98  when(redirectVec.map(r => r.valid).reduce(_||_)){
8282f4a3aa4SLingrui98    val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
82909c6f1ddSLingrui98    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
8302f4a3aa4SLingrui98    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
83109c6f1ddSLingrui98    val next = idx + 1.U
83209c6f1ddSLingrui98    bpuPtr := next
83309c6f1ddSLingrui98    ifuPtr := next
83409c6f1ddSLingrui98    ifuWbPtr := next
83509c6f1ddSLingrui98    when (notIfu) {
83609c6f1ddSLingrui98      commitStateQueue(idx.value).zipWithIndex.foreach({ case (s, i) =>
83709c6f1ddSLingrui98        when(i.U > offset || i.U === offset && flushItSelf){
83809c6f1ddSLingrui98          s := c_invalid
83909c6f1ddSLingrui98        }
84009c6f1ddSLingrui98      })
84109c6f1ddSLingrui98    }
84209c6f1ddSLingrui98  }
84309c6f1ddSLingrui98
84409c6f1ddSLingrui98  // only the valid bit is actually needed
845a37fbf10SJay  io.toIfu.redirect.bits    := Mux(robFlush.valid, robFlush.bits, stage2Redirect.bits)
84609c6f1ddSLingrui98  io.toIfu.redirect.valid   := stage2Flush
84709c6f1ddSLingrui98
84809c6f1ddSLingrui98  // commit
8499aca92b9SYinan Xu  for (c <- io.fromBackend.rob_commits) {
85009c6f1ddSLingrui98    when(c.valid) {
85109c6f1ddSLingrui98      commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset) := c_commited
85288825c5cSYinan Xu      // TODO: remove this
85388825c5cSYinan Xu      // For instruction fusions, we also update the next instruction
854c3abb8b6SYinan Xu      when (c.bits.commitType === 4.U) {
85588825c5cSYinan Xu        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 1.U) := c_commited
856c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 5.U) {
85788825c5cSYinan Xu        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 2.U) := c_commited
858c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 6.U) {
85988825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
86088825c5cSYinan Xu        commitStateQueue(index)(0) := c_commited
861c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 7.U) {
86288825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
86388825c5cSYinan Xu        commitStateQueue(index)(1) := c_commited
86488825c5cSYinan Xu      }
86509c6f1ddSLingrui98    }
86609c6f1ddSLingrui98  }
86709c6f1ddSLingrui98
86809c6f1ddSLingrui98  // ****************************************************************
86909c6f1ddSLingrui98  // **************************** to bpu ****************************
87009c6f1ddSLingrui98  // ****************************************************************
87109c6f1ddSLingrui98
87209c6f1ddSLingrui98  io.toBpu.redirect <> Mux(fromBackendRedirect.valid, fromBackendRedirect, ifuRedirectToBpu)
87309c6f1ddSLingrui98
8745371700eSzoujr  val may_have_stall_from_bpu = RegInit(false.B)
8755371700eSzoujr  val canCommit = commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
87609c6f1ddSLingrui98    Cat(commitStateQueue(commPtr.value).map(s => {
87709c6f1ddSLingrui98      s === c_invalid || s === c_commited
87809c6f1ddSLingrui98    })).andR()
87909c6f1ddSLingrui98
88009c6f1ddSLingrui98  // commit reads
88109c6f1ddSLingrui98  ftq_pc_mem.io.raddr.last := commPtr.value
88209c6f1ddSLingrui98  val commit_pc_bundle = ftq_pc_mem.io.rdata.last
88309c6f1ddSLingrui98  ftq_pd_mem.io.raddr.last := commPtr.value
88409c6f1ddSLingrui98  val commit_pd = ftq_pd_mem.io.rdata.last
88509c6f1ddSLingrui98  ftq_redirect_sram.io.ren.last := canCommit
88609c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.last := commPtr.value
88709c6f1ddSLingrui98  val commit_spec_meta = ftq_redirect_sram.io.rdata.last
88809c6f1ddSLingrui98  ftq_meta_1r_sram.io.ren(0) := canCommit
88909c6f1ddSLingrui98  ftq_meta_1r_sram.io.raddr(0) := commPtr.value
89009c6f1ddSLingrui98  val commit_meta = ftq_meta_1r_sram.io.rdata(0)
89109c6f1ddSLingrui98  ftb_entry_mem.io.raddr.last := commPtr.value
89209c6f1ddSLingrui98  val commit_ftb_entry = ftb_entry_mem.io.rdata.last
89309c6f1ddSLingrui98
89409c6f1ddSLingrui98  // need one cycle to read mem and srams
89509c6f1ddSLingrui98  val do_commit_ptr = RegNext(commPtr)
8965371700eSzoujr  val do_commit = RegNext(canCommit, init=false.B)
89709c6f1ddSLingrui98  when (canCommit) { commPtr := commPtr + 1.U }
89809c6f1ddSLingrui98  val commit_state = RegNext(commitStateQueue(commPtr.value))
8995371700eSzoujr  val can_commit_cfi = WireInit(cfiIndex_vec(commPtr.value))
9005371700eSzoujr  when (commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited) {
9015371700eSzoujr    can_commit_cfi.valid := false.B
90209c6f1ddSLingrui98  }
9035371700eSzoujr  val commit_cfi = RegNext(can_commit_cfi)
90409c6f1ddSLingrui98
90509c6f1ddSLingrui98  val commit_mispredict = VecInit((RegNext(mispredict_vec(commPtr.value)) zip commit_state).map {
90609c6f1ddSLingrui98    case (mis, state) => mis && state === c_commited
90709c6f1ddSLingrui98  })
9085371700eSzoujr  val can_commit_hit = entry_hit_status(commPtr.value)
9095371700eSzoujr  val commit_hit = RegNext(can_commit_hit)
91009c6f1ddSLingrui98  val commit_target = RegNext(update_target(commPtr.value))
91109c6f1ddSLingrui98  val commit_valid = commit_hit === h_hit || commit_cfi.valid // hit or taken
91209c6f1ddSLingrui98
9135371700eSzoujr  val to_bpu_hit = can_commit_hit === h_hit || can_commit_hit === h_false_hit
9141c8d9e26Szoujr  may_have_stall_from_bpu := can_commit_cfi.valid && !to_bpu_hit && !may_have_stall_from_bpu
91509c6f1ddSLingrui98
91609c6f1ddSLingrui98  io.toBpu.update := DontCare
91709c6f1ddSLingrui98  io.toBpu.update.valid := commit_valid && do_commit
91809c6f1ddSLingrui98  val update = io.toBpu.update.bits
91909c6f1ddSLingrui98  update.false_hit   := commit_hit === h_false_hit
92009c6f1ddSLingrui98  update.pc          := commit_pc_bundle.startAddr
92109c6f1ddSLingrui98  update.preds.hit   := commit_hit === h_hit || commit_hit === h_false_hit
92209c6f1ddSLingrui98  update.meta        := commit_meta.meta
9238ffcd86aSLingrui98  update.full_target := commit_target
92409c6f1ddSLingrui98  update.fromFtqRedirectSram(commit_spec_meta)
92509c6f1ddSLingrui98
92609c6f1ddSLingrui98  val commit_real_hit = commit_hit === h_hit
92709c6f1ddSLingrui98  val update_ftb_entry = update.ftb_entry
92809c6f1ddSLingrui98
92909c6f1ddSLingrui98  val ftbEntryGen = Module(new FTBEntryGen).io
93009c6f1ddSLingrui98  ftbEntryGen.start_addr     := commit_pc_bundle.startAddr
93109c6f1ddSLingrui98  ftbEntryGen.old_entry      := commit_ftb_entry
93209c6f1ddSLingrui98  ftbEntryGen.pd             := commit_pd
93309c6f1ddSLingrui98  ftbEntryGen.cfiIndex       := commit_cfi
93409c6f1ddSLingrui98  ftbEntryGen.target         := commit_target
93509c6f1ddSLingrui98  ftbEntryGen.hit            := commit_real_hit
93609c6f1ddSLingrui98  ftbEntryGen.mispredict_vec := commit_mispredict
93709c6f1ddSLingrui98
93809c6f1ddSLingrui98  update_ftb_entry         := ftbEntryGen.new_entry
93909c6f1ddSLingrui98  update.new_br_insert_pos := ftbEntryGen.new_br_insert_pos
94009c6f1ddSLingrui98  update.mispred_mask      := ftbEntryGen.mispred_mask
94109c6f1ddSLingrui98  update.old_entry         := ftbEntryGen.is_old_entry
942eeb5ff92SLingrui98  update.preds.br_taken_mask  := ftbEntryGen.taken_mask
94309c6f1ddSLingrui98
94409c6f1ddSLingrui98  // ******************************************************************************
94509c6f1ddSLingrui98  // **************************** commit perf counters ****************************
94609c6f1ddSLingrui98  // ******************************************************************************
94709c6f1ddSLingrui98
94809c6f1ddSLingrui98  val commit_inst_mask    = VecInit(commit_state.map(c => c === c_commited && do_commit)).asUInt
94909c6f1ddSLingrui98  val commit_mispred_mask = commit_mispredict.asUInt
95009c6f1ddSLingrui98  val commit_not_mispred_mask = ~commit_mispred_mask
95109c6f1ddSLingrui98
95209c6f1ddSLingrui98  val commit_br_mask = commit_pd.brMask.asUInt
95309c6f1ddSLingrui98  val commit_jmp_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.jmpInfo.valid.asTypeOf(UInt(1.W)))
95409c6f1ddSLingrui98  val commit_cfi_mask = (commit_br_mask | commit_jmp_mask)
95509c6f1ddSLingrui98
95609c6f1ddSLingrui98  val mbpInstrs = commit_inst_mask & commit_cfi_mask
95709c6f1ddSLingrui98
95809c6f1ddSLingrui98  val mbpRights = mbpInstrs & commit_not_mispred_mask
95909c6f1ddSLingrui98  val mbpWrongs = mbpInstrs & commit_mispred_mask
96009c6f1ddSLingrui98
96109c6f1ddSLingrui98  io.bpuInfo.bpRight := PopCount(mbpRights)
96209c6f1ddSLingrui98  io.bpuInfo.bpWrong := PopCount(mbpWrongs)
96309c6f1ddSLingrui98
96409c6f1ddSLingrui98  // Cfi Info
96509c6f1ddSLingrui98  for (i <- 0 until PredictWidth) {
96609c6f1ddSLingrui98    val pc = commit_pc_bundle.startAddr + (i * instBytes).U
96709c6f1ddSLingrui98    val v = commit_state(i) === c_commited
96809c6f1ddSLingrui98    val isBr = commit_pd.brMask(i)
96909c6f1ddSLingrui98    val isJmp = commit_pd.jmpInfo.valid && commit_pd.jmpOffset === i.U
97009c6f1ddSLingrui98    val isCfi = isBr || isJmp
97109c6f1ddSLingrui98    val isTaken = commit_cfi.valid && commit_cfi.bits === i.U
97209c6f1ddSLingrui98    val misPred = commit_mispredict(i)
973c2ad24ebSLingrui98    // val ghist = commit_spec_meta.ghist.predHist
974c2ad24ebSLingrui98    val histPtr = commit_spec_meta.histPtr
97509c6f1ddSLingrui98    val predCycle = commit_meta.meta(63, 0)
97609c6f1ddSLingrui98    val target = commit_target
97709c6f1ddSLingrui98
97809c6f1ddSLingrui98    val brIdx = OHToUInt(Reverse(Cat(update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U})))
97909c6f1ddSLingrui98    val inFtbEntry = update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U}.reduce(_||_)
98009c6f1ddSLingrui98    val addIntoHist = ((commit_hit === h_hit) && inFtbEntry) || ((!(commit_hit === h_hit) && i.U === commit_cfi.bits && isBr && commit_cfi.valid))
98109c6f1ddSLingrui98    XSDebug(v && do_commit && isCfi, p"cfi_update: isBr(${isBr}) pc(${Hexadecimal(pc)}) " +
982c2ad24ebSLingrui98    p"taken(${isTaken}) mispred(${misPred}) cycle($predCycle) hist(${histPtr.value}) " +
98309c6f1ddSLingrui98    p"startAddr(${Hexadecimal(commit_pc_bundle.startAddr)}) AddIntoHist(${addIntoHist}) " +
98409c6f1ddSLingrui98    p"brInEntry(${inFtbEntry}) brIdx(${brIdx}) target(${Hexadecimal(target)})\n")
98509c6f1ddSLingrui98  }
98609c6f1ddSLingrui98
98709c6f1ddSLingrui98  val enq = io.fromBpu.resp
98809c6f1ddSLingrui98  val perf_redirect = io.fromBackend.stage2Redirect
98909c6f1ddSLingrui98
99009c6f1ddSLingrui98  XSPerfAccumulate("entry", validEntries)
99109c6f1ddSLingrui98  XSPerfAccumulate("bpu_to_ftq_stall", enq.valid && !enq.ready)
99209c6f1ddSLingrui98  XSPerfAccumulate("mispredictRedirect", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level)
99309c6f1ddSLingrui98  XSPerfAccumulate("replayRedirect", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level))
99409c6f1ddSLingrui98  XSPerfAccumulate("predecodeRedirect", fromIfuRedirect.valid)
99509c6f1ddSLingrui98
99609c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_bubble", io.toIfu.req.ready && !io.toIfu.req.valid)
99709c6f1ddSLingrui98
99809c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_stall", io.toIfu.req.valid && !io.toIfu.req.ready)
99909c6f1ddSLingrui98  XSPerfAccumulate("from_bpu_real_bubble", !enq.valid && enq.ready && allowBpuIn)
10005371700eSzoujr  XSPerfAccumulate("bpu_to_ftq_bubble", bpuPtr === ifuPtr)
100109c6f1ddSLingrui98
100209c6f1ddSLingrui98  val from_bpu = io.fromBpu.resp.bits
100309c6f1ddSLingrui98  def in_entry_len_map_gen(resp: BranchPredictionBundle)(stage: String) = {
100409c6f1ddSLingrui98    val entry_len = (resp.ftb_entry.getFallThrough(resp.pc) - resp.pc) >> instOffsetBits
100509c6f1ddSLingrui98    val entry_len_recording_vec = (1 to PredictWidth+1).map(i => entry_len === i.U)
100609c6f1ddSLingrui98    val entry_len_map = (1 to PredictWidth+1).map(i =>
100709c6f1ddSLingrui98      f"${stage}_ftb_entry_len_$i" -> (entry_len_recording_vec(i-1) && resp.valid)
100809c6f1ddSLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
100909c6f1ddSLingrui98    entry_len_map
101009c6f1ddSLingrui98  }
101109c6f1ddSLingrui98  val s1_entry_len_map = in_entry_len_map_gen(from_bpu.s1)("s1")
101209c6f1ddSLingrui98  val s2_entry_len_map = in_entry_len_map_gen(from_bpu.s2)("s2")
1013*3e52bed1SLingrui98  // val s3_entry_len_map = in_entry_len_map_gen(from_bpu.s3)("s3")
101409c6f1ddSLingrui98
101509c6f1ddSLingrui98  val to_ifu = io.toIfu.req.bits
101609c6f1ddSLingrui98  val to_ifu_entry_len = (to_ifu.fallThruAddr - to_ifu.startAddr) >> instOffsetBits
101709c6f1ddSLingrui98  val to_ifu_entry_len_recording_vec = (1 to PredictWidth+1).map(i => to_ifu_entry_len === i.U)
101809c6f1ddSLingrui98  val to_ifu_entry_len_map = (1 to PredictWidth+1).map(i =>
101909c6f1ddSLingrui98    f"to_ifu_ftb_entry_len_$i" -> (to_ifu_entry_len_recording_vec(i-1) && io.toIfu.req.fire)
102009c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
102109c6f1ddSLingrui98
102209c6f1ddSLingrui98
102309c6f1ddSLingrui98
102409c6f1ddSLingrui98  val commit_num_inst_recording_vec = (1 to PredictWidth).map(i => PopCount(commit_inst_mask) === i.U)
102509c6f1ddSLingrui98  val commit_num_inst_map = (1 to PredictWidth).map(i =>
102609c6f1ddSLingrui98    f"commit_num_inst_$i" -> (commit_num_inst_recording_vec(i-1) && do_commit)
102709c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
102809c6f1ddSLingrui98
102909c6f1ddSLingrui98
103009c6f1ddSLingrui98
103109c6f1ddSLingrui98  val commit_jal_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJal.asTypeOf(UInt(1.W)))
103209c6f1ddSLingrui98  val commit_jalr_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJalr.asTypeOf(UInt(1.W)))
103309c6f1ddSLingrui98  val commit_call_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasCall.asTypeOf(UInt(1.W)))
103409c6f1ddSLingrui98  val commit_ret_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasRet.asTypeOf(UInt(1.W)))
103509c6f1ddSLingrui98
103609c6f1ddSLingrui98
103709c6f1ddSLingrui98  val mbpBRights = mbpRights & commit_br_mask
103809c6f1ddSLingrui98  val mbpJRights = mbpRights & commit_jal_mask
103909c6f1ddSLingrui98  val mbpIRights = mbpRights & commit_jalr_mask
104009c6f1ddSLingrui98  val mbpCRights = mbpRights & commit_call_mask
104109c6f1ddSLingrui98  val mbpRRights = mbpRights & commit_ret_mask
104209c6f1ddSLingrui98
104309c6f1ddSLingrui98  val mbpBWrongs = mbpWrongs & commit_br_mask
104409c6f1ddSLingrui98  val mbpJWrongs = mbpWrongs & commit_jal_mask
104509c6f1ddSLingrui98  val mbpIWrongs = mbpWrongs & commit_jalr_mask
104609c6f1ddSLingrui98  val mbpCWrongs = mbpWrongs & commit_call_mask
104709c6f1ddSLingrui98  val mbpRWrongs = mbpWrongs & commit_ret_mask
104809c6f1ddSLingrui98
10491d7e5011SLingrui98  val commit_pred_stage = RegNext(pred_stage(commPtr.value))
10501d7e5011SLingrui98
10511d7e5011SLingrui98  def pred_stage_map(src: UInt, name: String) = {
10521d7e5011SLingrui98    (0 until numBpStages).map(i =>
10531d7e5011SLingrui98      f"${name}_stage_${i+1}" -> PopCount(src.asBools.map(_ && commit_pred_stage === BP_STAGES(i)))
10541d7e5011SLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
10551d7e5011SLingrui98  }
10561d7e5011SLingrui98
10571d7e5011SLingrui98  val mispred_stage_map      = pred_stage_map(mbpWrongs,  "mispredict")
10581d7e5011SLingrui98  val br_mispred_stage_map   = pred_stage_map(mbpBWrongs, "br_mispredict")
10591d7e5011SLingrui98  val jalr_mispred_stage_map = pred_stage_map(mbpIWrongs, "jalr_mispredict")
10601d7e5011SLingrui98  val correct_stage_map      = pred_stage_map(mbpRights,  "correct")
10611d7e5011SLingrui98  val br_correct_stage_map   = pred_stage_map(mbpBRights, "br_correct")
10621d7e5011SLingrui98  val jalr_correct_stage_map = pred_stage_map(mbpIRights, "jalr_correct")
10631d7e5011SLingrui98
106409c6f1ddSLingrui98  val update_valid = io.toBpu.update.valid
106509c6f1ddSLingrui98  def u(cond: Bool) = update_valid && cond
106609c6f1ddSLingrui98  val ftb_false_hit = u(update.false_hit)
106765fddcf0Szoujr  // assert(!ftb_false_hit)
106809c6f1ddSLingrui98  val ftb_hit = u(commit_hit === h_hit)
106909c6f1ddSLingrui98
107009c6f1ddSLingrui98  val ftb_new_entry = u(ftbEntryGen.is_init_entry)
107109c6f1ddSLingrui98  val ftb_new_entry_only_br = ftb_new_entry && !update.ftb_entry.jmpValid
107209c6f1ddSLingrui98  val ftb_new_entry_only_jmp = ftb_new_entry && !update.ftb_entry.brValids(0)
107309c6f1ddSLingrui98  val ftb_new_entry_has_br_and_jmp = ftb_new_entry && update.ftb_entry.brValids(0) && update.ftb_entry.jmpValid
107409c6f1ddSLingrui98
107509c6f1ddSLingrui98  val ftb_old_entry = u(ftbEntryGen.is_old_entry)
107609c6f1ddSLingrui98
107709c6f1ddSLingrui98  val ftb_modified_entry = u(ftbEntryGen.is_new_br || ftbEntryGen.is_jalr_target_modified || ftbEntryGen.is_always_taken_modified)
107809c6f1ddSLingrui98  val ftb_modified_entry_new_br = u(ftbEntryGen.is_new_br)
107909c6f1ddSLingrui98  val ftb_modified_entry_jalr_target_modified = u(ftbEntryGen.is_jalr_target_modified)
108009c6f1ddSLingrui98  val ftb_modified_entry_br_full = ftb_modified_entry && ftbEntryGen.is_br_full
108109c6f1ddSLingrui98  val ftb_modified_entry_always_taken = ftb_modified_entry && ftbEntryGen.is_always_taken_modified
108209c6f1ddSLingrui98
108309c6f1ddSLingrui98  val ftb_entry_len = (ftbEntryGen.new_entry.getFallThrough(update.pc) - update.pc) >> instOffsetBits
108409c6f1ddSLingrui98  val ftb_entry_len_recording_vec = (1 to PredictWidth+1).map(i => ftb_entry_len === i.U)
108509c6f1ddSLingrui98  val ftb_init_entry_len_map = (1 to PredictWidth+1).map(i =>
108609c6f1ddSLingrui98    f"ftb_init_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_new_entry)
108709c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
108809c6f1ddSLingrui98  val ftb_modified_entry_len_map = (1 to PredictWidth+1).map(i =>
108909c6f1ddSLingrui98    f"ftb_modified_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_modified_entry)
109009c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
109109c6f1ddSLingrui98
109209c6f1ddSLingrui98  val ftq_occupancy_map = (0 to FtqSize).map(i =>
109309c6f1ddSLingrui98    f"ftq_has_entry_$i" ->( validEntries === i.U)
109409c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
109509c6f1ddSLingrui98
109609c6f1ddSLingrui98  val perfCountsMap = Map(
109709c6f1ddSLingrui98    "BpInstr" -> PopCount(mbpInstrs),
109809c6f1ddSLingrui98    "BpBInstr" -> PopCount(mbpBRights | mbpBWrongs),
109909c6f1ddSLingrui98    "BpRight"  -> PopCount(mbpRights),
110009c6f1ddSLingrui98    "BpWrong"  -> PopCount(mbpWrongs),
110109c6f1ddSLingrui98    "BpBRight" -> PopCount(mbpBRights),
110209c6f1ddSLingrui98    "BpBWrong" -> PopCount(mbpBWrongs),
110309c6f1ddSLingrui98    "BpJRight" -> PopCount(mbpJRights),
110409c6f1ddSLingrui98    "BpJWrong" -> PopCount(mbpJWrongs),
110509c6f1ddSLingrui98    "BpIRight" -> PopCount(mbpIRights),
110609c6f1ddSLingrui98    "BpIWrong" -> PopCount(mbpIWrongs),
110709c6f1ddSLingrui98    "BpCRight" -> PopCount(mbpCRights),
110809c6f1ddSLingrui98    "BpCWrong" -> PopCount(mbpCWrongs),
110909c6f1ddSLingrui98    "BpRRight" -> PopCount(mbpRRights),
111009c6f1ddSLingrui98    "BpRWrong" -> PopCount(mbpRWrongs),
111109c6f1ddSLingrui98
111209c6f1ddSLingrui98    "ftb_false_hit"                -> PopCount(ftb_false_hit),
111309c6f1ddSLingrui98    "ftb_hit"                      -> PopCount(ftb_hit),
111409c6f1ddSLingrui98    "ftb_new_entry"                -> PopCount(ftb_new_entry),
111509c6f1ddSLingrui98    "ftb_new_entry_only_br"        -> PopCount(ftb_new_entry_only_br),
111609c6f1ddSLingrui98    "ftb_new_entry_only_jmp"       -> PopCount(ftb_new_entry_only_jmp),
111709c6f1ddSLingrui98    "ftb_new_entry_has_br_and_jmp" -> PopCount(ftb_new_entry_has_br_and_jmp),
111809c6f1ddSLingrui98    "ftb_old_entry"                -> PopCount(ftb_old_entry),
111909c6f1ddSLingrui98    "ftb_modified_entry"           -> PopCount(ftb_modified_entry),
112009c6f1ddSLingrui98    "ftb_modified_entry_new_br"    -> PopCount(ftb_modified_entry_new_br),
112109c6f1ddSLingrui98    "ftb_jalr_target_modified"     -> PopCount(ftb_modified_entry_jalr_target_modified),
112209c6f1ddSLingrui98    "ftb_modified_entry_br_full"   -> PopCount(ftb_modified_entry_br_full),
112309c6f1ddSLingrui98    "ftb_modified_entry_always_taken" -> PopCount(ftb_modified_entry_always_taken)
112409c6f1ddSLingrui98  ) ++ ftb_init_entry_len_map ++ ftb_modified_entry_len_map ++ s1_entry_len_map ++
1125*3e52bed1SLingrui98  s2_entry_len_map ++ /* s3_entry_len_map ++ */
11261d7e5011SLingrui98  to_ifu_entry_len_map ++ commit_num_inst_map ++ ftq_occupancy_map ++
11271d7e5011SLingrui98  mispred_stage_map ++ br_mispred_stage_map ++ jalr_mispred_stage_map ++
11281d7e5011SLingrui98  correct_stage_map ++ br_correct_stage_map ++ jalr_correct_stage_map
112909c6f1ddSLingrui98
113009c6f1ddSLingrui98  for((key, value) <- perfCountsMap) {
113109c6f1ddSLingrui98    XSPerfAccumulate(key, value)
113209c6f1ddSLingrui98  }
113309c6f1ddSLingrui98
113409c6f1ddSLingrui98  // --------------------------- Debug --------------------------------
113509c6f1ddSLingrui98  // XSDebug(enq_fire, p"enq! " + io.fromBpu.resp.bits.toPrintable)
113609c6f1ddSLingrui98  XSDebug(io.toIfu.req.fire, p"fire to ifu " + io.toIfu.req.bits.toPrintable)
113709c6f1ddSLingrui98  XSDebug(do_commit, p"deq! [ptr] $do_commit_ptr\n")
113809c6f1ddSLingrui98  XSDebug(true.B, p"[bpuPtr] $bpuPtr, [ifuPtr] $ifuPtr, [ifuWbPtr] $ifuWbPtr [commPtr] $commPtr\n")
113909c6f1ddSLingrui98  XSDebug(true.B, p"[in] v:${io.fromBpu.resp.valid} r:${io.fromBpu.resp.ready} " +
114009c6f1ddSLingrui98    p"[out] v:${io.toIfu.req.valid} r:${io.toIfu.req.ready}\n")
114109c6f1ddSLingrui98  XSDebug(do_commit, p"[deq info] cfiIndex: $commit_cfi, $commit_pc_bundle, target: ${Hexadecimal(commit_target)}\n")
114209c6f1ddSLingrui98
114309c6f1ddSLingrui98  //   def ubtbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
114409c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
114509c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
114609c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
114709c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
114809c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
114909c6f1ddSLingrui98  //           !taken),
115009c6f1ddSLingrui98  //         !taken),
115109c6f1ddSLingrui98  //       false.B)
115209c6f1ddSLingrui98  //     }
115309c6f1ddSLingrui98  //   }
115409c6f1ddSLingrui98
115509c6f1ddSLingrui98  //   def btbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
115609c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
115709c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
115809c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
115909c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
116009c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
116109c6f1ddSLingrui98  //           !taken),
116209c6f1ddSLingrui98  //         !taken),
116309c6f1ddSLingrui98  //       false.B)
116409c6f1ddSLingrui98  //     }
116509c6f1ddSLingrui98  //   }
116609c6f1ddSLingrui98
116709c6f1ddSLingrui98  //   def tageCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
116809c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
116909c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
117009c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
117109c6f1ddSLingrui98  //         isWrong ^ (ans.taken.asBool === taken),
117209c6f1ddSLingrui98  //       false.B)
117309c6f1ddSLingrui98  //     }
117409c6f1ddSLingrui98  //   }
117509c6f1ddSLingrui98
117609c6f1ddSLingrui98  //   def loopCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
117709c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
117809c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
117909c6f1ddSLingrui98  //       Mux(valid && (pd.isBr) && ans.hit.asBool,
118009c6f1ddSLingrui98  //         isWrong ^ (!taken),
118109c6f1ddSLingrui98  //           false.B)
118209c6f1ddSLingrui98  //     }
118309c6f1ddSLingrui98  //   }
118409c6f1ddSLingrui98
118509c6f1ddSLingrui98  //   def rasCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
118609c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
118709c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
118809c6f1ddSLingrui98  //       Mux(valid && pd.isRet.asBool /*&& taken*/ && ans.hit.asBool,
118909c6f1ddSLingrui98  //         isWrong ^ (ans.target === commitEntry.target),
119009c6f1ddSLingrui98  //           false.B)
119109c6f1ddSLingrui98  //     }
119209c6f1ddSLingrui98  //   }
119309c6f1ddSLingrui98
119409c6f1ddSLingrui98  //   val ubtbRights = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), false.B)
119509c6f1ddSLingrui98  //   val ubtbWrongs = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), true.B)
119609c6f1ddSLingrui98  //   // btb and ubtb pred jal and jalr as well
119709c6f1ddSLingrui98  //   val btbRights = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), false.B)
119809c6f1ddSLingrui98  //   val btbWrongs = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), true.B)
119909c6f1ddSLingrui98  //   val tageRights = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), false.B)
120009c6f1ddSLingrui98  //   val tageWrongs = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), true.B)
120109c6f1ddSLingrui98
120209c6f1ddSLingrui98  //   val loopRights = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), false.B)
120309c6f1ddSLingrui98  //   val loopWrongs = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), true.B)
120409c6f1ddSLingrui98
120509c6f1ddSLingrui98  //   val rasRights = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), false.B)
120609c6f1ddSLingrui98  //   val rasWrongs = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), true.B)
1207cd365d4cSrvcoresjw  val perfinfo = IO(new Bundle(){
1208cd365d4cSrvcoresjw    val perfEvents = Output(new PerfEventsBundle(22))
1209cd365d4cSrvcoresjw  })
1210cd365d4cSrvcoresjw  val perfEvents = Seq(
1211cd365d4cSrvcoresjw    ("bpu_s2_redirect        ", bpu_s2_redirect                                                             ),
1212*3e52bed1SLingrui98    // ("bpu_s3_redirect        ", bpu_s3_redirect                                                             ),
1213cd365d4cSrvcoresjw    ("bpu_to_ftq_stall       ", enq.valid && ~enq.ready                                                     ),
1214cd365d4cSrvcoresjw    ("mispredictRedirect     ", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level),
1215cd365d4cSrvcoresjw    ("replayRedirect         ", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level)  ),
1216cd365d4cSrvcoresjw    ("predecodeRedirect      ", fromIfuRedirect.valid                                                       ),
1217cd365d4cSrvcoresjw    ("to_ifu_bubble          ", io.toIfu.req.ready && !io.toIfu.req.valid                                   ),
1218cd365d4cSrvcoresjw    ("from_bpu_real_bubble   ", !enq.valid && enq.ready && allowBpuIn                                       ),
1219cd365d4cSrvcoresjw    ("BpInstr                ", PopCount(mbpInstrs)                                                         ),
1220cd365d4cSrvcoresjw    ("BpBInstr               ", PopCount(mbpBRights | mbpBWrongs)                                           ),
1221cd365d4cSrvcoresjw    ("BpRight                ", PopCount(mbpRights)                                                         ),
1222cd365d4cSrvcoresjw    ("BpWrong                ", PopCount(mbpWrongs)                                                         ),
1223cd365d4cSrvcoresjw    ("BpBRight               ", PopCount(mbpBRights)                                                        ),
1224cd365d4cSrvcoresjw    ("BpBWrong               ", PopCount(mbpBWrongs)                                                        ),
1225cd365d4cSrvcoresjw    ("BpJRight               ", PopCount(mbpJRights)                                                        ),
1226cd365d4cSrvcoresjw    ("BpJWrong               ", PopCount(mbpJWrongs)                                                        ),
1227cd365d4cSrvcoresjw    ("BpIRight               ", PopCount(mbpIRights)                                                        ),
1228cd365d4cSrvcoresjw    ("BpIWrong               ", PopCount(mbpIWrongs)                                                        ),
1229cd365d4cSrvcoresjw    ("BpCRight               ", PopCount(mbpCRights)                                                        ),
1230cd365d4cSrvcoresjw    ("BpCWrong               ", PopCount(mbpCWrongs)                                                        ),
1231cd365d4cSrvcoresjw    ("BpRRight               ", PopCount(mbpRRights)                                                        ),
1232cd365d4cSrvcoresjw    ("BpRWrong               ", PopCount(mbpRWrongs)                                                        ),
1233cd365d4cSrvcoresjw    ("ftb_false_hit          ", PopCount(ftb_false_hit)                                                     ),
1234cd365d4cSrvcoresjw    ("ftb_hit                ", PopCount(ftb_hit)                                                           ),
1235cd365d4cSrvcoresjw  )
123609c6f1ddSLingrui98
1237cd365d4cSrvcoresjw  for (((perf_out,(perf_name,perf)),i) <- perfinfo.perfEvents.perf_events.zip(perfEvents).zipWithIndex) {
1238cd365d4cSrvcoresjw    perf_out.incr_step := RegNext(perf)
1239cd365d4cSrvcoresjw  }
124009c6f1ddSLingrui98}
1241