xref: /XiangShan/src/main/scala/xiangshan/frontend/NewFtq.scala (revision 2c9f4a9f8b3a5df2c18c0b59e73304da0eee610c)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
1509c6f1ddSLingrui98***************************************************************************************/
1609c6f1ddSLingrui98
1709c6f1ddSLingrui98package xiangshan.frontend
1809c6f1ddSLingrui98
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
2009c6f1ddSLingrui98import chisel3._
2109c6f1ddSLingrui98import chisel3.util._
221ca0e4f3SYinan Xuimport utils._
233c02ee8fSwakafaimport utility._
2409c6f1ddSLingrui98import xiangshan._
25e30430c2SJayimport xiangshan.frontend.icache._
261ca0e4f3SYinan Xuimport xiangshan.backend.CtrlToFtqIO
272e1be6e1SSteve Gouimport xiangshan.backend.decode.ImmUnion
283c02ee8fSwakafaimport utility.ChiselDB
2951532d8bSGuokai Chen
3051532d8bSGuokai Chenclass FtqDebugBundle extends Bundle {
3151532d8bSGuokai Chen  val pc = UInt(39.W)
3251532d8bSGuokai Chen  val target = UInt(39.W)
3351532d8bSGuokai Chen  val isBr = Bool()
3451532d8bSGuokai Chen  val isJmp = Bool()
3551532d8bSGuokai Chen  val isCall = Bool()
3651532d8bSGuokai Chen  val isRet = Bool()
3751532d8bSGuokai Chen  val misPred = Bool()
3851532d8bSGuokai Chen  val isTaken = Bool()
3951532d8bSGuokai Chen  val predStage = UInt(2.W)
4051532d8bSGuokai Chen}
4109c6f1ddSLingrui98
423b739f49SXuan Huclass FtqPtr(entries: Int) extends CircularQueuePtr[FtqPtr](
433b739f49SXuan Hu  entries
4409c6f1ddSLingrui98){
453b739f49SXuan Hu  def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).FtqSize)
4609c6f1ddSLingrui98}
4709c6f1ddSLingrui98
4809c6f1ddSLingrui98object FtqPtr {
4909c6f1ddSLingrui98  def apply(f: Bool, v: UInt)(implicit p: Parameters): FtqPtr = {
5009c6f1ddSLingrui98    val ptr = Wire(new FtqPtr)
5109c6f1ddSLingrui98    ptr.flag := f
5209c6f1ddSLingrui98    ptr.value := v
5309c6f1ddSLingrui98    ptr
5409c6f1ddSLingrui98  }
5509c6f1ddSLingrui98  def inverse(ptr: FtqPtr)(implicit p: Parameters): FtqPtr = {
5609c6f1ddSLingrui98    apply(!ptr.flag, ptr.value)
5709c6f1ddSLingrui98  }
5809c6f1ddSLingrui98}
5909c6f1ddSLingrui98
6009c6f1ddSLingrui98class FtqNRSRAM[T <: Data](gen: T, numRead: Int)(implicit p: Parameters) extends XSModule {
6109c6f1ddSLingrui98
6209c6f1ddSLingrui98  val io = IO(new Bundle() {
6309c6f1ddSLingrui98    val raddr = Input(Vec(numRead, UInt(log2Up(FtqSize).W)))
6409c6f1ddSLingrui98    val ren = Input(Vec(numRead, Bool()))
6509c6f1ddSLingrui98    val rdata = Output(Vec(numRead, gen))
6609c6f1ddSLingrui98    val waddr = Input(UInt(log2Up(FtqSize).W))
6709c6f1ddSLingrui98    val wen = Input(Bool())
6809c6f1ddSLingrui98    val wdata = Input(gen)
6909c6f1ddSLingrui98  })
7009c6f1ddSLingrui98
7109c6f1ddSLingrui98  for(i <- 0 until numRead){
7209c6f1ddSLingrui98    val sram = Module(new SRAMTemplate(gen, FtqSize))
7309c6f1ddSLingrui98    sram.io.r.req.valid := io.ren(i)
7409c6f1ddSLingrui98    sram.io.r.req.bits.setIdx := io.raddr(i)
7509c6f1ddSLingrui98    io.rdata(i) := sram.io.r.resp.data(0)
7609c6f1ddSLingrui98    sram.io.w.req.valid := io.wen
7709c6f1ddSLingrui98    sram.io.w.req.bits.setIdx := io.waddr
7809c6f1ddSLingrui98    sram.io.w.req.bits.data := VecInit(io.wdata)
7909c6f1ddSLingrui98  }
8009c6f1ddSLingrui98
8109c6f1ddSLingrui98}
8209c6f1ddSLingrui98
8309c6f1ddSLingrui98class Ftq_RF_Components(implicit p: Parameters) extends XSBundle with BPUUtils {
8409c6f1ddSLingrui98  val startAddr = UInt(VAddrBits.W)
85b37e4b45SLingrui98  val nextLineAddr = UInt(VAddrBits.W)
8609c6f1ddSLingrui98  val isNextMask = Vec(PredictWidth, Bool())
87b37e4b45SLingrui98  val fallThruError = Bool()
88b37e4b45SLingrui98  // val carry = Bool()
8909c6f1ddSLingrui98  def getPc(offset: UInt) = {
9085215037SLingrui98    def getHigher(pc: UInt) = pc(VAddrBits-1, log2Ceil(PredictWidth)+instOffsetBits+1)
9185215037SLingrui98    def getOffset(pc: UInt) = pc(log2Ceil(PredictWidth)+instOffsetBits, instOffsetBits)
92b37e4b45SLingrui98    Cat(getHigher(Mux(isNextMask(offset) && startAddr(log2Ceil(PredictWidth)+instOffsetBits), nextLineAddr, startAddr)),
9309c6f1ddSLingrui98        getOffset(startAddr)+offset, 0.U(instOffsetBits.W))
9409c6f1ddSLingrui98  }
9509c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
96a229ab6cSLingrui98    def carryPos(addr: UInt) = addr(instOffsetBits+log2Ceil(PredictWidth)+1)
97adc0b8dfSGuokai Chen    this.startAddr := resp.pc(3)
98adc0b8dfSGuokai Chen    this.nextLineAddr := resp.pc(3) + (FetchWidth * 4 * 2).U // may be broken on other configs
9909c6f1ddSLingrui98    this.isNextMask := VecInit((0 until PredictWidth).map(i =>
100935edac4STang Haojin      (resp.pc(3)(log2Ceil(PredictWidth), 1) +& i.U)(log2Ceil(PredictWidth)).asBool
10109c6f1ddSLingrui98    ))
102adc0b8dfSGuokai Chen    this.fallThruError := resp.fallThruError(3)
10309c6f1ddSLingrui98    this
10409c6f1ddSLingrui98  }
10509c6f1ddSLingrui98  override def toPrintable: Printable = {
106b37e4b45SLingrui98    p"startAddr:${Hexadecimal(startAddr)}"
10709c6f1ddSLingrui98  }
10809c6f1ddSLingrui98}
10909c6f1ddSLingrui98
11009c6f1ddSLingrui98class Ftq_pd_Entry(implicit p: Parameters) extends XSBundle {
11109c6f1ddSLingrui98  val brMask = Vec(PredictWidth, Bool())
11209c6f1ddSLingrui98  val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
11309c6f1ddSLingrui98  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
11409c6f1ddSLingrui98  val jalTarget = UInt(VAddrBits.W)
11509c6f1ddSLingrui98  val rvcMask = Vec(PredictWidth, Bool())
11609c6f1ddSLingrui98  def hasJal  = jmpInfo.valid && !jmpInfo.bits(0)
11709c6f1ddSLingrui98  def hasJalr = jmpInfo.valid && jmpInfo.bits(0)
11809c6f1ddSLingrui98  def hasCall = jmpInfo.valid && jmpInfo.bits(1)
11909c6f1ddSLingrui98  def hasRet  = jmpInfo.valid && jmpInfo.bits(2)
12009c6f1ddSLingrui98
12109c6f1ddSLingrui98  def fromPdWb(pdWb: PredecodeWritebackBundle) = {
12209c6f1ddSLingrui98    val pds = pdWb.pd
12309c6f1ddSLingrui98    this.brMask := VecInit(pds.map(pd => pd.isBr && pd.valid))
12409c6f1ddSLingrui98    this.jmpInfo.valid := VecInit(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid)).asUInt.orR
12509c6f1ddSLingrui98    this.jmpInfo.bits := ParallelPriorityMux(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid),
12609c6f1ddSLingrui98                                             pds.map(pd => VecInit(pd.isJalr, pd.isCall, pd.isRet)))
12709c6f1ddSLingrui98    this.jmpOffset := ParallelPriorityEncoder(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid))
12809c6f1ddSLingrui98    this.rvcMask := VecInit(pds.map(pd => pd.isRVC))
12909c6f1ddSLingrui98    this.jalTarget := pdWb.jalTarget
13009c6f1ddSLingrui98  }
13109c6f1ddSLingrui98
13209c6f1ddSLingrui98  def toPd(offset: UInt) = {
13309c6f1ddSLingrui98    require(offset.getWidth == log2Ceil(PredictWidth))
13409c6f1ddSLingrui98    val pd = Wire(new PreDecodeInfo)
13509c6f1ddSLingrui98    pd.valid := true.B
13609c6f1ddSLingrui98    pd.isRVC := rvcMask(offset)
13709c6f1ddSLingrui98    val isBr = brMask(offset)
13809c6f1ddSLingrui98    val isJalr = offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(0)
13909c6f1ddSLingrui98    pd.brType := Cat(offset === jmpOffset && jmpInfo.valid, isJalr || isBr)
14009c6f1ddSLingrui98    pd.isCall := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(1)
14109c6f1ddSLingrui98    pd.isRet  := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(2)
14209c6f1ddSLingrui98    pd
14309c6f1ddSLingrui98  }
14409c6f1ddSLingrui98}
14509c6f1ddSLingrui98
146f9c51548Sssszwicclass PrefetchPtrDB(implicit p: Parameters) extends Bundle {
147f9c51548Sssszwic  val fromFtqPtr  = UInt(log2Up(p(XSCoreParamsKey).FtqSize).W)
148f9c51548Sssszwic  val fromIfuPtr  = UInt(log2Up(p(XSCoreParamsKey).FtqSize).W)
149f9c51548Sssszwic}
15009c6f1ddSLingrui98
1513711cf36S小造xu_zhclass Ftq_Redirect_SRAMEntry(implicit p: Parameters) extends SpeculativeInfo {
152abdc3a32Sxu_zh  val sc_disagree = if (!env.FPGAPlatform) Some(Vec(numBr, Bool())) else None
1533711cf36S小造xu_zh}
15409c6f1ddSLingrui98
15509c6f1ddSLingrui98class Ftq_1R_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
15609c6f1ddSLingrui98  val meta = UInt(MaxMetaLength.W)
157deb3a97eSGao-Zeyu  val ftb_entry = new FTBEntry
15809c6f1ddSLingrui98}
15909c6f1ddSLingrui98
16009c6f1ddSLingrui98class Ftq_Pred_Info(implicit p: Parameters) extends XSBundle {
16109c6f1ddSLingrui98  val target = UInt(VAddrBits.W)
16209c6f1ddSLingrui98  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
16309c6f1ddSLingrui98}
16409c6f1ddSLingrui98
16509c6f1ddSLingrui98
16609c6f1ddSLingrui98class FtqRead[T <: Data](private val gen: T)(implicit p: Parameters) extends XSBundle {
16754c6d89dSxiaofeibao-xjtu  val valid = Output(Bool())
16809c6f1ddSLingrui98  val ptr = Output(new FtqPtr)
16909c6f1ddSLingrui98  val offset = Output(UInt(log2Ceil(PredictWidth).W))
17009c6f1ddSLingrui98  val data = Input(gen)
17154c6d89dSxiaofeibao-xjtu  def apply(valid: Bool, ptr: FtqPtr, offset: UInt) = {
17254c6d89dSxiaofeibao-xjtu    this.valid := valid
17309c6f1ddSLingrui98    this.ptr := ptr
17409c6f1ddSLingrui98    this.offset := offset
17509c6f1ddSLingrui98    this.data
17609c6f1ddSLingrui98  }
17709c6f1ddSLingrui98}
17809c6f1ddSLingrui98
17909c6f1ddSLingrui98
18009c6f1ddSLingrui98class FtqToBpuIO(implicit p: Parameters) extends XSBundle {
18109c6f1ddSLingrui98  val redirect = Valid(new BranchPredictionRedirect)
18209c6f1ddSLingrui98  val update = Valid(new BranchPredictionUpdate)
18309c6f1ddSLingrui98  val enq_ptr = Output(new FtqPtr)
184fd3aa057SYuandongliang  val redirctFromIFU = Output(Bool())
18509c6f1ddSLingrui98}
18609c6f1ddSLingrui98
187*2c9f4a9fSxu_zhclass BpuFlushInfo(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
18809c6f1ddSLingrui98  // when ifu pipeline is not stalled,
18909c6f1ddSLingrui98  // a packet from bpu s3 can reach f1 at most
19009c6f1ddSLingrui98  val s2 = Valid(new FtqPtr)
191cb4f77ceSLingrui98  val s3 = Valid(new FtqPtr)
19209c6f1ddSLingrui98  def shouldFlushBy(src: Valid[FtqPtr], idx_to_flush: FtqPtr) = {
19309c6f1ddSLingrui98    src.valid && !isAfter(src.bits, idx_to_flush)
19409c6f1ddSLingrui98  }
19509c6f1ddSLingrui98  def shouldFlushByStage2(idx: FtqPtr) = shouldFlushBy(s2, idx)
196cb4f77ceSLingrui98  def shouldFlushByStage3(idx: FtqPtr) = shouldFlushBy(s3, idx)
19709c6f1ddSLingrui98}
198*2c9f4a9fSxu_zh
199*2c9f4a9fSxu_zhclass FtqToIfuIO(implicit p: Parameters) extends XSBundle {
200*2c9f4a9fSxu_zh  val req = Decoupled(new FetchRequestBundle)
201*2c9f4a9fSxu_zh  val redirect = Valid(new BranchPredictionRedirect)
202*2c9f4a9fSxu_zh  val topdown_redirect = Valid(new BranchPredictionRedirect)
203*2c9f4a9fSxu_zh  val flushFromBpu = new BpuFlushInfo
20409c6f1ddSLingrui98}
20509c6f1ddSLingrui98
206*2c9f4a9fSxu_zhclass FtqToICacheIO(implicit p: Parameters) extends XSBundle {
207c5c5edaeSJenius  //NOTE: req.bits must be prepare in T cycle
208c5c5edaeSJenius  // while req.valid is set true in T + 1 cycle
209c5c5edaeSJenius  val req = Decoupled(new FtqToICacheRequestBundle)
210c5c5edaeSJenius}
211c5c5edaeSJenius
212*2c9f4a9fSxu_zhclass FtqToPrefetchIO(implicit p: Parameters) extends XSBundle {
213b92f8445Sssszwic  val req = Decoupled(new FtqICacheInfo)
214*2c9f4a9fSxu_zh  val flushFromBpu = new BpuFlushInfo
215b92f8445Sssszwic}
216b92f8445Sssszwic
21709c6f1ddSLingrui98trait HasBackendRedirectInfo extends HasXSParameter {
21809c6f1ddSLingrui98  def isLoadReplay(r: Valid[Redirect]) = r.bits.flushItself()
21909c6f1ddSLingrui98}
22009c6f1ddSLingrui98
22109c6f1ddSLingrui98class FtqToCtrlIO(implicit p: Parameters) extends XSBundle with HasBackendRedirectInfo {
222b56f947eSYinan Xu  // write to backend pc mem
223b56f947eSYinan Xu  val pc_mem_wen = Output(Bool())
224f533cba7SHuSipeng  val pc_mem_waddr = Output(UInt(log2Ceil(FtqSize).W))
225b56f947eSYinan Xu  val pc_mem_wdata = Output(new Ftq_RF_Components)
226873dc383SLingrui98  // newest target
2276022c595SsinceforYy  val newest_entry_en = Output(Bool())
228873dc383SLingrui98  val newest_entry_target = Output(UInt(VAddrBits.W))
229873dc383SLingrui98  val newest_entry_ptr = Output(new FtqPtr)
23009c6f1ddSLingrui98}
23109c6f1ddSLingrui98
23209c6f1ddSLingrui98class FTBEntryGen(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo with HasBPUParameter {
23309c6f1ddSLingrui98  val io = IO(new Bundle {
23409c6f1ddSLingrui98    val start_addr = Input(UInt(VAddrBits.W))
23509c6f1ddSLingrui98    val old_entry = Input(new FTBEntry)
23609c6f1ddSLingrui98    val pd = Input(new Ftq_pd_Entry)
23709c6f1ddSLingrui98    val cfiIndex = Flipped(Valid(UInt(log2Ceil(PredictWidth).W)))
23809c6f1ddSLingrui98    val target = Input(UInt(VAddrBits.W))
23909c6f1ddSLingrui98    val hit = Input(Bool())
24009c6f1ddSLingrui98    val mispredict_vec = Input(Vec(PredictWidth, Bool()))
24109c6f1ddSLingrui98
24209c6f1ddSLingrui98    val new_entry = Output(new FTBEntry)
24309c6f1ddSLingrui98    val new_br_insert_pos = Output(Vec(numBr, Bool()))
24409c6f1ddSLingrui98    val taken_mask = Output(Vec(numBr, Bool()))
245803124a6SLingrui98    val jmp_taken = Output(Bool())
24609c6f1ddSLingrui98    val mispred_mask = Output(Vec(numBr+1, Bool()))
24709c6f1ddSLingrui98
24809c6f1ddSLingrui98    // for perf counters
24909c6f1ddSLingrui98    val is_init_entry = Output(Bool())
25009c6f1ddSLingrui98    val is_old_entry = Output(Bool())
25109c6f1ddSLingrui98    val is_new_br = Output(Bool())
25209c6f1ddSLingrui98    val is_jalr_target_modified = Output(Bool())
25309c6f1ddSLingrui98    val is_always_taken_modified = Output(Bool())
25409c6f1ddSLingrui98    val is_br_full = Output(Bool())
25509c6f1ddSLingrui98  })
25609c6f1ddSLingrui98
25709c6f1ddSLingrui98  // no mispredictions detected at predecode
25809c6f1ddSLingrui98  val hit = io.hit
25909c6f1ddSLingrui98  val pd = io.pd
26009c6f1ddSLingrui98
26109c6f1ddSLingrui98  val init_entry = WireInit(0.U.asTypeOf(new FTBEntry))
26209c6f1ddSLingrui98
26309c6f1ddSLingrui98
26409c6f1ddSLingrui98  val cfi_is_br = pd.brMask(io.cfiIndex.bits) && io.cfiIndex.valid
26509c6f1ddSLingrui98  val entry_has_jmp = pd.jmpInfo.valid
26609c6f1ddSLingrui98  val new_jmp_is_jal  = entry_has_jmp && !pd.jmpInfo.bits(0) && io.cfiIndex.valid
26709c6f1ddSLingrui98  val new_jmp_is_jalr = entry_has_jmp &&  pd.jmpInfo.bits(0) && io.cfiIndex.valid
26809c6f1ddSLingrui98  val new_jmp_is_call = entry_has_jmp &&  pd.jmpInfo.bits(1) && io.cfiIndex.valid
26909c6f1ddSLingrui98  val new_jmp_is_ret  = entry_has_jmp &&  pd.jmpInfo.bits(2) && io.cfiIndex.valid
27009c6f1ddSLingrui98  val last_jmp_rvi = entry_has_jmp && pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask.last
271a60a2901SLingrui98  // val last_br_rvi = cfi_is_br && io.cfiIndex.bits === (PredictWidth-1).U && !pd.rvcMask.last
27209c6f1ddSLingrui98
27309c6f1ddSLingrui98  val cfi_is_jal = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jal
27409c6f1ddSLingrui98  val cfi_is_jalr = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jalr
27509c6f1ddSLingrui98
276a60a2901SLingrui98  def carryPos = log2Ceil(PredictWidth)+instOffsetBits
27709c6f1ddSLingrui98  def getLower(pc: UInt) = pc(carryPos-1, instOffsetBits)
27809c6f1ddSLingrui98  // if not hit, establish a new entry
27909c6f1ddSLingrui98  init_entry.valid := true.B
28009c6f1ddSLingrui98  // tag is left for ftb to assign
281eeb5ff92SLingrui98
282eeb5ff92SLingrui98  // case br
283eeb5ff92SLingrui98  val init_br_slot = init_entry.getSlotForBr(0)
284eeb5ff92SLingrui98  when (cfi_is_br) {
285eeb5ff92SLingrui98    init_br_slot.valid := true.B
286eeb5ff92SLingrui98    init_br_slot.offset := io.cfiIndex.bits
287b37e4b45SLingrui98    init_br_slot.setLowerStatByTarget(io.start_addr, io.target, numBr == 1)
288eeb5ff92SLingrui98    init_entry.always_taken(0) := true.B // set to always taken on init
289eeb5ff92SLingrui98  }
290eeb5ff92SLingrui98
291eeb5ff92SLingrui98  // case jmp
292eeb5ff92SLingrui98  when (entry_has_jmp) {
293eeb5ff92SLingrui98    init_entry.tailSlot.offset := pd.jmpOffset
294eeb5ff92SLingrui98    init_entry.tailSlot.valid := new_jmp_is_jal || new_jmp_is_jalr
295eeb5ff92SLingrui98    init_entry.tailSlot.setLowerStatByTarget(io.start_addr, Mux(cfi_is_jalr, io.target, pd.jalTarget), isShare=false)
296eeb5ff92SLingrui98  }
297eeb5ff92SLingrui98
29809c6f1ddSLingrui98  val jmpPft = getLower(io.start_addr) +& pd.jmpOffset +& Mux(pd.rvcMask(pd.jmpOffset), 1.U, 2.U)
299a60a2901SLingrui98  init_entry.pftAddr := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft, getLower(io.start_addr))
300a60a2901SLingrui98  init_entry.carry   := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft(carryPos-instOffsetBits), true.B)
30109c6f1ddSLingrui98  init_entry.isJalr := new_jmp_is_jalr
30209c6f1ddSLingrui98  init_entry.isCall := new_jmp_is_call
30309c6f1ddSLingrui98  init_entry.isRet  := new_jmp_is_ret
304f4ebc4b2SLingrui98  // that means fall thru points to the middle of an inst
305ae409b75SSteve Gou  init_entry.last_may_be_rvi_call := pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask(pd.jmpOffset)
30609c6f1ddSLingrui98
30709c6f1ddSLingrui98  // if hit, check whether a new cfi(only br is possible) is detected
30809c6f1ddSLingrui98  val oe = io.old_entry
309eeb5ff92SLingrui98  val br_recorded_vec = oe.getBrRecordedVec(io.cfiIndex.bits)
31009c6f1ddSLingrui98  val br_recorded = br_recorded_vec.asUInt.orR
31109c6f1ddSLingrui98  val is_new_br = cfi_is_br && !br_recorded
31209c6f1ddSLingrui98  val new_br_offset = io.cfiIndex.bits
31309c6f1ddSLingrui98  // vec(i) means new br will be inserted BEFORE old br(i)
314eeb5ff92SLingrui98  val allBrSlotsVec = oe.allSlotsForBr
31509c6f1ddSLingrui98  val new_br_insert_onehot = VecInit((0 until numBr).map{
31609c6f1ddSLingrui98    i => i match {
317eeb5ff92SLingrui98      case 0 =>
318eeb5ff92SLingrui98        !allBrSlotsVec(0).valid || new_br_offset < allBrSlotsVec(0).offset
319eeb5ff92SLingrui98      case idx =>
320eeb5ff92SLingrui98        allBrSlotsVec(idx-1).valid && new_br_offset > allBrSlotsVec(idx-1).offset &&
321eeb5ff92SLingrui98        (!allBrSlotsVec(idx).valid || new_br_offset < allBrSlotsVec(idx).offset)
32209c6f1ddSLingrui98    }
32309c6f1ddSLingrui98  })
32409c6f1ddSLingrui98
32509c6f1ddSLingrui98  val old_entry_modified = WireInit(io.old_entry)
32609c6f1ddSLingrui98  for (i <- 0 until numBr) {
327eeb5ff92SLingrui98    val slot = old_entry_modified.allSlotsForBr(i)
328eeb5ff92SLingrui98    when (new_br_insert_onehot(i)) {
329eeb5ff92SLingrui98      slot.valid := true.B
330eeb5ff92SLingrui98      slot.offset := new_br_offset
331b37e4b45SLingrui98      slot.setLowerStatByTarget(io.start_addr, io.target, i == numBr-1)
332eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := true.B
333eeb5ff92SLingrui98    }.elsewhen (new_br_offset > oe.allSlotsForBr(i).offset) {
334eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := false.B
335eeb5ff92SLingrui98      // all other fields remain unchanged
336eeb5ff92SLingrui98    }.otherwise {
337eeb5ff92SLingrui98      // case i == 0, remain unchanged
338eeb5ff92SLingrui98      if (i != 0) {
339b37e4b45SLingrui98        val noNeedToMoveFromFormerSlot = (i == numBr-1).B && !oe.brSlots.last.valid
340eeb5ff92SLingrui98        when (!noNeedToMoveFromFormerSlot) {
341eeb5ff92SLingrui98          slot.fromAnotherSlot(oe.allSlotsForBr(i-1))
342eeb5ff92SLingrui98          old_entry_modified.always_taken(i) := oe.always_taken(i)
34309c6f1ddSLingrui98        }
344eeb5ff92SLingrui98      }
345eeb5ff92SLingrui98    }
346eeb5ff92SLingrui98  }
34709c6f1ddSLingrui98
348eeb5ff92SLingrui98  // two circumstances:
349eeb5ff92SLingrui98  // 1. oe: | br | j  |, new br should be in front of j, thus addr of j should be new pft
350eeb5ff92SLingrui98  // 2. oe: | br | br |, new br could be anywhere between, thus new pft is the addr of either
351eeb5ff92SLingrui98  //        the previous last br or the new br
352eeb5ff92SLingrui98  val may_have_to_replace = oe.noEmptySlotForNewBr
353eeb5ff92SLingrui98  val pft_need_to_change = is_new_br && may_have_to_replace
35409c6f1ddSLingrui98  // it should either be the given last br or the new br
35509c6f1ddSLingrui98  when (pft_need_to_change) {
356eeb5ff92SLingrui98    val new_pft_offset =
357710a8720SLingrui98      Mux(!new_br_insert_onehot.asUInt.orR,
358710a8720SLingrui98        new_br_offset, oe.allSlotsForBr.last.offset)
359eeb5ff92SLingrui98
360710a8720SLingrui98    // set jmp to invalid
36109c6f1ddSLingrui98    old_entry_modified.pftAddr := getLower(io.start_addr) + new_pft_offset
36209c6f1ddSLingrui98    old_entry_modified.carry := (getLower(io.start_addr) +& new_pft_offset).head(1).asBool
363f4ebc4b2SLingrui98    old_entry_modified.last_may_be_rvi_call := false.B
36409c6f1ddSLingrui98    old_entry_modified.isCall := false.B
36509c6f1ddSLingrui98    old_entry_modified.isRet := false.B
366eeb5ff92SLingrui98    old_entry_modified.isJalr := false.B
36709c6f1ddSLingrui98  }
36809c6f1ddSLingrui98
36909c6f1ddSLingrui98  val old_entry_jmp_target_modified = WireInit(oe)
370710a8720SLingrui98  val old_target = oe.tailSlot.getTarget(io.start_addr) // may be wrong because we store only 20 lowest bits
371b37e4b45SLingrui98  val old_tail_is_jmp = !oe.tailSlot.sharing
372eeb5ff92SLingrui98  val jalr_target_modified = cfi_is_jalr && (old_target =/= io.target) && old_tail_is_jmp // TODO: pass full jalr target
3733bcae573SLingrui98  when (jalr_target_modified) {
37409c6f1ddSLingrui98    old_entry_jmp_target_modified.setByJmpTarget(io.start_addr, io.target)
37509c6f1ddSLingrui98    old_entry_jmp_target_modified.always_taken := 0.U.asTypeOf(Vec(numBr, Bool()))
37609c6f1ddSLingrui98  }
37709c6f1ddSLingrui98
37809c6f1ddSLingrui98  val old_entry_always_taken = WireInit(oe)
37909c6f1ddSLingrui98  val always_taken_modified_vec = Wire(Vec(numBr, Bool())) // whether modified or not
38009c6f1ddSLingrui98  for (i <- 0 until numBr) {
38109c6f1ddSLingrui98    old_entry_always_taken.always_taken(i) :=
38209c6f1ddSLingrui98      oe.always_taken(i) && io.cfiIndex.valid && oe.brValids(i) && io.cfiIndex.bits === oe.brOffset(i)
383710a8720SLingrui98    always_taken_modified_vec(i) := oe.always_taken(i) && !old_entry_always_taken.always_taken(i)
38409c6f1ddSLingrui98  }
38509c6f1ddSLingrui98  val always_taken_modified = always_taken_modified_vec.reduce(_||_)
38609c6f1ddSLingrui98
38709c6f1ddSLingrui98
38809c6f1ddSLingrui98
38909c6f1ddSLingrui98  val derived_from_old_entry =
39009c6f1ddSLingrui98    Mux(is_new_br, old_entry_modified,
3913bcae573SLingrui98      Mux(jalr_target_modified, old_entry_jmp_target_modified, old_entry_always_taken))
39209c6f1ddSLingrui98
39309c6f1ddSLingrui98
39409c6f1ddSLingrui98  io.new_entry := Mux(!hit, init_entry, derived_from_old_entry)
39509c6f1ddSLingrui98
39609c6f1ddSLingrui98  io.new_br_insert_pos := new_br_insert_onehot
39709c6f1ddSLingrui98  io.taken_mask := VecInit((io.new_entry.brOffset zip io.new_entry.brValids).map{
39809c6f1ddSLingrui98    case (off, v) => io.cfiIndex.bits === off && io.cfiIndex.valid && v
39909c6f1ddSLingrui98  })
400803124a6SLingrui98  io.jmp_taken := io.new_entry.jmpValid && io.new_entry.tailSlot.offset === io.cfiIndex.bits
40109c6f1ddSLingrui98  for (i <- 0 until numBr) {
40209c6f1ddSLingrui98    io.mispred_mask(i) := io.new_entry.brValids(i) && io.mispredict_vec(io.new_entry.brOffset(i))
40309c6f1ddSLingrui98  }
40409c6f1ddSLingrui98  io.mispred_mask.last := io.new_entry.jmpValid && io.mispredict_vec(pd.jmpOffset)
40509c6f1ddSLingrui98
40609c6f1ddSLingrui98  // for perf counters
40709c6f1ddSLingrui98  io.is_init_entry := !hit
4083bcae573SLingrui98  io.is_old_entry := hit && !is_new_br && !jalr_target_modified && !always_taken_modified
40909c6f1ddSLingrui98  io.is_new_br := hit && is_new_br
4103bcae573SLingrui98  io.is_jalr_target_modified := hit && jalr_target_modified
41109c6f1ddSLingrui98  io.is_always_taken_modified := hit && always_taken_modified
412eeb5ff92SLingrui98  io.is_br_full := hit && is_new_br && may_have_to_replace
41309c6f1ddSLingrui98}
41409c6f1ddSLingrui98
415c5c5edaeSJeniusclass FtqPcMemWrapper(numOtherReads: Int)(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo {
416c5c5edaeSJenius  val io = IO(new Bundle {
417c5c5edaeSJenius    val ifuPtr_w       = Input(new FtqPtr)
418c5c5edaeSJenius    val ifuPtrPlus1_w  = Input(new FtqPtr)
4196bf9b30dSLingrui98    val ifuPtrPlus2_w  = Input(new FtqPtr)
420b92f8445Sssszwic    val pfPtr_w        = Input(new FtqPtr)
421b92f8445Sssszwic    val pfPtrPlus1_w   = Input(new FtqPtr)
422c5c5edaeSJenius    val commPtr_w      = Input(new FtqPtr)
4236bf9b30dSLingrui98    val commPtrPlus1_w = Input(new FtqPtr)
424c5c5edaeSJenius    val ifuPtr_rdata       = Output(new Ftq_RF_Components)
425c5c5edaeSJenius    val ifuPtrPlus1_rdata  = Output(new Ftq_RF_Components)
4266bf9b30dSLingrui98    val ifuPtrPlus2_rdata  = Output(new Ftq_RF_Components)
427b92f8445Sssszwic    val pfPtr_rdata        = Output(new Ftq_RF_Components)
428b92f8445Sssszwic    val pfPtrPlus1_rdata   = Output(new Ftq_RF_Components)
429c5c5edaeSJenius    val commPtr_rdata      = Output(new Ftq_RF_Components)
4306bf9b30dSLingrui98    val commPtrPlus1_rdata = Output(new Ftq_RF_Components)
431c5c5edaeSJenius
432c5c5edaeSJenius    val wen = Input(Bool())
433c5c5edaeSJenius    val waddr = Input(UInt(log2Ceil(FtqSize).W))
434c5c5edaeSJenius    val wdata = Input(new Ftq_RF_Components)
435c5c5edaeSJenius  })
436c5c5edaeSJenius
4376bf9b30dSLingrui98  val num_pc_read = numOtherReads + 5
438c5c5edaeSJenius  val mem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize,
43928f2cf58SLingrui98    num_pc_read, 1, "FtqPC"))
440c5c5edaeSJenius  mem.io.wen(0)   := io.wen
441c5c5edaeSJenius  mem.io.waddr(0) := io.waddr
442c5c5edaeSJenius  mem.io.wdata(0) := io.wdata
443c5c5edaeSJenius
4446bf9b30dSLingrui98  // read one cycle ahead for ftq local reads
445b92f8445Sssszwic  val raddr_vec = VecInit(Seq(io.ifuPtr_w.value, io.ifuPtrPlus1_w.value, io.ifuPtrPlus2_w.value,
446b92f8445Sssszwic                              io.pfPtr_w.value, io.pfPtrPlus1_w.value,
447b92f8445Sssszwic                              io.commPtrPlus1_w.value, io.commPtr_w.value))
448c5c5edaeSJenius
449c5c5edaeSJenius  mem.io.raddr := raddr_vec
450c5c5edaeSJenius
451b92f8445Sssszwic  io.ifuPtr_rdata       := mem.io.rdata.dropRight(6).last
452b92f8445Sssszwic  io.ifuPtrPlus1_rdata  := mem.io.rdata.dropRight(5).last
453b92f8445Sssszwic  io.ifuPtrPlus2_rdata  := mem.io.rdata.dropRight(4).last
454b92f8445Sssszwic  io.pfPtr_rdata        := mem.io.rdata.dropRight(3).last
455b92f8445Sssszwic  io.pfPtrPlus1_rdata   := mem.io.rdata.dropRight(2).last
4566bf9b30dSLingrui98  io.commPtrPlus1_rdata := mem.io.rdata.dropRight(1).last
457c5c5edaeSJenius  io.commPtr_rdata      := mem.io.rdata.last
458c5c5edaeSJenius}
459c5c5edaeSJenius
46009c6f1ddSLingrui98class Ftq(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper
461e30430c2SJay  with HasBackendRedirectInfo with BPUUtils with HasBPUConst with HasPerfEvents
462e30430c2SJay  with HasICacheParameters{
46309c6f1ddSLingrui98  val io = IO(new Bundle {
46409c6f1ddSLingrui98    val fromBpu = Flipped(new BpuToFtqIO)
46509c6f1ddSLingrui98    val fromIfu = Flipped(new IfuToFtqIO)
46609c6f1ddSLingrui98    val fromBackend = Flipped(new CtrlToFtqIO)
46709c6f1ddSLingrui98
46809c6f1ddSLingrui98    val toBpu = new FtqToBpuIO
46909c6f1ddSLingrui98    val toIfu = new FtqToIfuIO
470c5c5edaeSJenius    val toICache = new FtqToICacheIO
47109c6f1ddSLingrui98    val toBackend = new FtqToCtrlIO
472b92f8445Sssszwic    val toPrefetch = new FtqToPrefetchIO
473b92f8445Sssszwic    val icacheFlush = Output(Bool())
4747052722fSJay
47509c6f1ddSLingrui98    val bpuInfo = new Bundle {
47609c6f1ddSLingrui98      val bpRight = Output(UInt(XLEN.W))
47709c6f1ddSLingrui98      val bpWrong = Output(UInt(XLEN.W))
47809c6f1ddSLingrui98    }
4791d1e6d4dSJenius
4801d1e6d4dSJenius    val mmioCommitRead = Flipped(new mmioCommitRead)
481d2b20d1aSTang Haojin
482d2b20d1aSTang Haojin    // for perf
483d2b20d1aSTang Haojin    val ControlBTBMissBubble = Output(Bool())
484d2b20d1aSTang Haojin    val TAGEMissBubble = Output(Bool())
485d2b20d1aSTang Haojin    val SCMissBubble = Output(Bool())
486d2b20d1aSTang Haojin    val ITTAGEMissBubble = Output(Bool())
487d2b20d1aSTang Haojin    val RASMissBubble = Output(Bool())
48809c6f1ddSLingrui98  })
48909c6f1ddSLingrui98  io.bpuInfo := DontCare
49009c6f1ddSLingrui98
491d2b20d1aSTang Haojin  val topdown_stage = RegInit(0.U.asTypeOf(new FrontendTopDownBundle))
492d2b20d1aSTang Haojin  // only driven by clock, not valid-ready
493d2b20d1aSTang Haojin  topdown_stage := io.fromBpu.resp.bits.topdown_info
494d2b20d1aSTang Haojin  io.toIfu.req.bits.topdown_info := topdown_stage
495d2b20d1aSTang Haojin
496d2b20d1aSTang Haojin  val ifuRedirected = RegInit(VecInit(Seq.fill(FtqSize)(false.B)))
497d2b20d1aSTang Haojin
498bace178aSGao-Zeyu
49942dddaceSXuan Hu  // io.fromBackend.ftqIdxAhead: bju(BjuCnt) + ldReplay + exception
50042dddaceSXuan Hu  val ftqIdxAhead = VecInit(Seq.tabulate(FtqRedirectAheadNum)(i => io.fromBackend.ftqIdxAhead(i))) // only bju
50142dddaceSXuan Hu  val ftqIdxSelOH = io.fromBackend.ftqIdxSelOH.bits(FtqRedirectAheadNum - 1, 0)
502bace178aSGao-Zeyu
503bace178aSGao-Zeyu  val aheadValid   = ftqIdxAhead.map(_.valid).reduce(_|_) && !io.fromBackend.redirect.valid
504bace178aSGao-Zeyu  val realAhdValid = io.fromBackend.redirect.valid && (ftqIdxSelOH > 0.U) && RegNext(aheadValid)
505d2b20d1aSTang Haojin  val backendRedirect = Wire(Valid(new BranchPredictionRedirect))
5061c6fc24aSEaston Man  val backendRedirectReg = Wire(Valid(new BranchPredictionRedirect))
5071c6fc24aSEaston Man  backendRedirectReg.valid := RegNext(Mux(realAhdValid, false.B, backendRedirect.valid))
5081c6fc24aSEaston Man  backendRedirectReg.bits := RegEnable(backendRedirect.bits, backendRedirect.valid)
509bace178aSGao-Zeyu  val fromBackendRedirect = Wire(Valid(new BranchPredictionRedirect))
510bace178aSGao-Zeyu  fromBackendRedirect := Mux(realAhdValid, backendRedirect, backendRedirectReg)
51109c6f1ddSLingrui98
512df5b4b8eSYinan Xu  val stage2Flush = backendRedirect.valid
51309c6f1ddSLingrui98  val backendFlush = stage2Flush || RegNext(stage2Flush)
51409c6f1ddSLingrui98  val ifuFlush = Wire(Bool())
51509c6f1ddSLingrui98
51609c6f1ddSLingrui98  val flush = stage2Flush || RegNext(stage2Flush)
51709c6f1ddSLingrui98
51809c6f1ddSLingrui98  val allowBpuIn, allowToIfu = WireInit(false.B)
51909c6f1ddSLingrui98  val flushToIfu = !allowToIfu
520bace178aSGao-Zeyu  allowBpuIn := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
521bace178aSGao-Zeyu  allowToIfu := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
52209c6f1ddSLingrui98
523f56177cbSJenius  def copyNum = 5
524b92f8445Sssszwic  val bpuPtr, ifuPtr, pfPtr, ifuWbPtr, commPtr, robCommPtr = RegInit(FtqPtr(false.B, 0.U))
525c9bc5480SLingrui98  val ifuPtrPlus1 = RegInit(FtqPtr(false.B, 1.U))
5266bf9b30dSLingrui98  val ifuPtrPlus2 = RegInit(FtqPtr(false.B, 2.U))
527b92f8445Sssszwic  val pfPtrPlus1  = RegInit(FtqPtr(false.B, 1.U))
5286bf9b30dSLingrui98  val commPtrPlus1 = RegInit(FtqPtr(false.B, 1.U))
529f56177cbSJenius  val copied_ifu_ptr = Seq.fill(copyNum)(RegInit(FtqPtr(false.B, 0.U)))
530dc270d3bSJenius  val copied_bpu_ptr = Seq.fill(copyNum)(RegInit(FtqPtr(false.B, 0.U)))
5316bf9b30dSLingrui98  require(FtqSize >= 4)
532c5c5edaeSJenius  val ifuPtr_write       = WireInit(ifuPtr)
533c5c5edaeSJenius  val ifuPtrPlus1_write  = WireInit(ifuPtrPlus1)
5346bf9b30dSLingrui98  val ifuPtrPlus2_write  = WireInit(ifuPtrPlus2)
535b92f8445Sssszwic  val pfPtr_write        = WireInit(pfPtr)
536b92f8445Sssszwic  val pfPtrPlus1_write   = WireInit(pfPtrPlus1)
537c5c5edaeSJenius  val ifuWbPtr_write     = WireInit(ifuWbPtr)
538c5c5edaeSJenius  val commPtr_write      = WireInit(commPtr)
5396bf9b30dSLingrui98  val commPtrPlus1_write = WireInit(commPtrPlus1)
54089cc69c1STang Haojin  val robCommPtr_write   = WireInit(robCommPtr)
541c5c5edaeSJenius  ifuPtr       := ifuPtr_write
542c5c5edaeSJenius  ifuPtrPlus1  := ifuPtrPlus1_write
5436bf9b30dSLingrui98  ifuPtrPlus2  := ifuPtrPlus2_write
544b92f8445Sssszwic  pfPtr        := pfPtr_write
545b92f8445Sssszwic  pfPtrPlus1   := pfPtrPlus1_write
546c5c5edaeSJenius  ifuWbPtr     := ifuWbPtr_write
547c5c5edaeSJenius  commPtr      := commPtr_write
548f83ef67eSLingrui98  commPtrPlus1 := commPtrPlus1_write
549f56177cbSJenius  copied_ifu_ptr.map{ptr =>
550f56177cbSJenius    ptr := ifuPtr_write
551f56177cbSJenius    dontTouch(ptr)
552f56177cbSJenius  }
55389cc69c1STang Haojin  robCommPtr   := robCommPtr_write
55409c6f1ddSLingrui98  val validEntries = distanceBetween(bpuPtr, commPtr)
55543aca6c2SGuokai Chen  val canCommit = Wire(Bool())
55609c6f1ddSLingrui98
55709c6f1ddSLingrui98  // **********************************************************************
55809c6f1ddSLingrui98  // **************************** enq from bpu ****************************
55909c6f1ddSLingrui98  // **********************************************************************
56043aca6c2SGuokai Chen  val new_entry_ready = validEntries < FtqSize.U || canCommit
56109c6f1ddSLingrui98  io.fromBpu.resp.ready := new_entry_ready
56209c6f1ddSLingrui98
56309c6f1ddSLingrui98  val bpu_s2_resp = io.fromBpu.resp.bits.s2
564cb4f77ceSLingrui98  val bpu_s3_resp = io.fromBpu.resp.bits.s3
565adc0b8dfSGuokai Chen  val bpu_s2_redirect = bpu_s2_resp.valid(3) && bpu_s2_resp.hasRedirect(3)
566adc0b8dfSGuokai Chen  val bpu_s3_redirect = bpu_s3_resp.valid(3) && bpu_s3_resp.hasRedirect(3)
56709c6f1ddSLingrui98
56809c6f1ddSLingrui98  io.toBpu.enq_ptr := bpuPtr
569935edac4STang Haojin  val enq_fire = io.fromBpu.resp.fire && allowBpuIn // from bpu s1
570935edac4STang Haojin  val bpu_in_fire = (io.fromBpu.resp.fire || bpu_s2_redirect || bpu_s3_redirect) && allowBpuIn
57109c6f1ddSLingrui98
572b37e4b45SLingrui98  val bpu_in_resp = io.fromBpu.resp.bits.selectedResp
573adc0b8dfSGuokai Chen  val bpu_in_stage = io.fromBpu.resp.bits.selectedRespIdxForFtq
57409c6f1ddSLingrui98  val bpu_in_resp_ptr = Mux(bpu_in_stage === BP_S1, bpuPtr, bpu_in_resp.ftq_idx)
57509c6f1ddSLingrui98  val bpu_in_resp_idx = bpu_in_resp_ptr.value
57609c6f1ddSLingrui98
577b92f8445Sssszwic  // read ports:      pfReq1 + pfReq2 ++  ifuReq1 + ifuReq2 + ifuReq3 + commitUpdate2 + commitUpdate
578b92f8445Sssszwic  val ftq_pc_mem = Module(new FtqPcMemWrapper(2))
5796bf9b30dSLingrui98  // resp from uBTB
580c5c5edaeSJenius  ftq_pc_mem.io.wen := bpu_in_fire
581c5c5edaeSJenius  ftq_pc_mem.io.waddr := bpu_in_resp_idx
582c5c5edaeSJenius  ftq_pc_mem.io.wdata.fromBranchPrediction(bpu_in_resp)
58309c6f1ddSLingrui98
58409c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
58516a171eeSEaston Man  val ftq_redirect_mem = Module(new SyncDataModuleTemplate(new Ftq_Redirect_SRAMEntry,
58695a47398SGao-Zeyu    FtqSize, IfuRedirectNum+FtqRedirectAheadNum+1, 1, hasRen = true))
58709c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
588deb3a97eSGao-Zeyu  ftq_redirect_mem.io.wen(0) := io.fromBpu.resp.bits.lastStage.valid(3)
589deb3a97eSGao-Zeyu  ftq_redirect_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
590deb3a97eSGao-Zeyu  ftq_redirect_mem.io.wdata(0) := io.fromBpu.resp.bits.last_stage_spec_info
591deb3a97eSGao-Zeyu  println(f"ftq redirect MEM: entry ${ftq_redirect_mem.io.wdata(0).getWidth} * ${FtqSize} * 3")
59209c6f1ddSLingrui98
59309c6f1ddSLingrui98  val ftq_meta_1r_sram = Module(new FtqNRSRAM(new Ftq_1R_SRAMEntry, 1))
59409c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
595adc0b8dfSGuokai Chen  ftq_meta_1r_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid(3)
59609c6f1ddSLingrui98  ftq_meta_1r_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
597c2d1ec7dSLingrui98  ftq_meta_1r_sram.io.wdata.meta := io.fromBpu.resp.bits.last_stage_meta
598deb3a97eSGao-Zeyu  ftq_meta_1r_sram.io.wdata.ftb_entry := io.fromBpu.resp.bits.last_stage_ftb_entry
59995a47398SGao-Zeyu  //                                                            ifuRedirect + backendRedirect (commit moved to ftq_meta_1r_sram)
600241781f0SEaston Man  val ftb_entry_mem = Module(new SyncDataModuleTemplate(new FTBEntry_FtqMem,
60195a47398SGao-Zeyu    FtqSize, IfuRedirectNum+FtqRedirectAheadNum, 1, hasRen = true))
602adc0b8dfSGuokai Chen  ftb_entry_mem.io.wen(0) := io.fromBpu.resp.bits.lastStage.valid(3)
60309c6f1ddSLingrui98  ftb_entry_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
604c2d1ec7dSLingrui98  ftb_entry_mem.io.wdata(0) := io.fromBpu.resp.bits.last_stage_ftb_entry
60509c6f1ddSLingrui98
60609c6f1ddSLingrui98
60709c6f1ddSLingrui98  // multi-write
608b0ed7239SLingrui98  val update_target = Reg(Vec(FtqSize, UInt(VAddrBits.W))) // could be taken target or fallThrough //TODO: remove this
6096bf9b30dSLingrui98  val newest_entry_target = Reg(UInt(VAddrBits.W))
6101c6fc24aSEaston Man  val newest_entry_target_modified = RegInit(false.B)
6116bf9b30dSLingrui98  val newest_entry_ptr = Reg(new FtqPtr)
6121c6fc24aSEaston Man  val newest_entry_ptr_modified = RegInit(false.B)
61309c6f1ddSLingrui98  val cfiIndex_vec = Reg(Vec(FtqSize, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))))
61409c6f1ddSLingrui98  val mispredict_vec = Reg(Vec(FtqSize, Vec(PredictWidth, Bool())))
61509c6f1ddSLingrui98  val pred_stage = Reg(Vec(FtqSize, UInt(2.W)))
616209a4cafSSteve Gou  val pred_s1_cycle = if (!env.FPGAPlatform) Some(Reg(Vec(FtqSize, UInt(64.W)))) else None
61709c6f1ddSLingrui98
61891346769SMuzi  val c_empty :: c_toCommit :: c_committed :: c_flushed :: Nil = Enum(4)
6191c6fc24aSEaston Man  val commitStateQueueReg = RegInit(VecInit(Seq.fill(FtqSize) {
62091346769SMuzi    VecInit(Seq.fill(PredictWidth)(c_empty))
62109c6f1ddSLingrui98  }))
6221c6fc24aSEaston Man  val commitStateQueueEnable = WireInit(VecInit(Seq.fill(FtqSize)(false.B)))
6231c6fc24aSEaston Man  val commitStateQueueNext = WireInit(commitStateQueueReg)
6241c6fc24aSEaston Man
6251c6fc24aSEaston Man  for (f <- 0 until FtqSize) {
6261c6fc24aSEaston Man    when(commitStateQueueEnable(f)) {
6271c6fc24aSEaston Man      commitStateQueueReg(f) := commitStateQueueNext(f)
6281c6fc24aSEaston Man    }
6291c6fc24aSEaston Man  }
63009c6f1ddSLingrui98
63109c6f1ddSLingrui98  val f_to_send :: f_sent :: Nil = Enum(2)
63209c6f1ddSLingrui98  val entry_fetch_status = RegInit(VecInit(Seq.fill(FtqSize)(f_sent)))
63309c6f1ddSLingrui98
63409c6f1ddSLingrui98  val h_not_hit :: h_false_hit :: h_hit :: Nil = Enum(3)
63509c6f1ddSLingrui98  val entry_hit_status = RegInit(VecInit(Seq.fill(FtqSize)(h_not_hit)))
63609c6f1ddSLingrui98
637f63797a4SLingrui98  // modify registers one cycle later to cut critical path
638f63797a4SLingrui98  val last_cycle_bpu_in = RegNext(bpu_in_fire)
6391c6fc24aSEaston Man  val last_cycle_bpu_in_ptr = RegEnable(bpu_in_resp_ptr, bpu_in_fire)
6406bf9b30dSLingrui98  val last_cycle_bpu_in_idx = last_cycle_bpu_in_ptr.value
6411c6fc24aSEaston Man  val last_cycle_bpu_target = RegEnable(bpu_in_resp.getTarget(3), bpu_in_fire)
6421c6fc24aSEaston Man  val last_cycle_cfiIndex = RegEnable(bpu_in_resp.cfiIndex(3), bpu_in_fire)
6431c6fc24aSEaston Man  val last_cycle_bpu_in_stage = RegEnable(bpu_in_stage, bpu_in_fire)
644f56177cbSJenius
6457be982afSLingrui98  def extra_copyNum_for_commitStateQueue = 2
6461c6fc24aSEaston Man  val copied_last_cycle_bpu_in =
6471c6fc24aSEaston Man    VecInit(Seq.fill(copyNum + extra_copyNum_for_commitStateQueue)(RegNext(bpu_in_fire)))
6481c6fc24aSEaston Man  val copied_last_cycle_bpu_in_ptr_for_ftq =
6491c6fc24aSEaston Man    VecInit(Seq.fill(extra_copyNum_for_commitStateQueue)(RegEnable(bpu_in_resp_ptr, bpu_in_fire)))
650f56177cbSJenius
6511c6fc24aSEaston Man  newest_entry_target_modified := false.B
6521c6fc24aSEaston Man  newest_entry_ptr_modified := false.B
653f63797a4SLingrui98  when (last_cycle_bpu_in) {
654f63797a4SLingrui98    entry_fetch_status(last_cycle_bpu_in_idx) := f_to_send
655f63797a4SLingrui98    cfiIndex_vec(last_cycle_bpu_in_idx) := last_cycle_cfiIndex
656f63797a4SLingrui98    pred_stage(last_cycle_bpu_in_idx) := last_cycle_bpu_in_stage
6576bf9b30dSLingrui98
658b0ed7239SLingrui98    update_target(last_cycle_bpu_in_idx) := last_cycle_bpu_target // TODO: remove this
6591c6fc24aSEaston Man    newest_entry_target_modified := true.B
6606bf9b30dSLingrui98    newest_entry_target := last_cycle_bpu_target
6611c6fc24aSEaston Man    newest_entry_ptr_modified := true.B
6626bf9b30dSLingrui98    newest_entry_ptr := last_cycle_bpu_in_ptr
66309c6f1ddSLingrui98  }
66409c6f1ddSLingrui98
6657be982afSLingrui98  // reduce fanout by delay write for a cycle
6667be982afSLingrui98  when (RegNext(last_cycle_bpu_in)) {
6671c6fc24aSEaston Man    mispredict_vec(RegEnable(last_cycle_bpu_in_idx, last_cycle_bpu_in)) :=
6681c6fc24aSEaston Man      WireInit(VecInit(Seq.fill(PredictWidth)(false.B)))
6697be982afSLingrui98  }
6707be982afSLingrui98
671209a4cafSSteve Gou  // record s1 pred cycles
672209a4cafSSteve Gou  pred_s1_cycle.map(vec => {
673209a4cafSSteve Gou    when (bpu_in_fire && (bpu_in_stage === BP_S1)) {
674209a4cafSSteve Gou      vec(bpu_in_resp_ptr.value) := bpu_in_resp.full_pred(0).predCycle.getOrElse(0.U)
675209a4cafSSteve Gou    }
676209a4cafSSteve Gou  })
677209a4cafSSteve Gou
6787be982afSLingrui98  // reduce fanout using copied last_cycle_bpu_in and copied last_cycle_bpu_in_ptr
6797be982afSLingrui98  val copied_last_cycle_bpu_in_for_ftq = copied_last_cycle_bpu_in.takeRight(extra_copyNum_for_commitStateQueue)
6807be982afSLingrui98  copied_last_cycle_bpu_in_for_ftq.zip(copied_last_cycle_bpu_in_ptr_for_ftq).zipWithIndex.map {
6817be982afSLingrui98    case ((in, ptr), i) =>
6827be982afSLingrui98      when (in) {
6837be982afSLingrui98        val perSetEntries = FtqSize / extra_copyNum_for_commitStateQueue // 32
6847be982afSLingrui98        require(FtqSize % extra_copyNum_for_commitStateQueue == 0)
6857be982afSLingrui98        for (j <- 0 until perSetEntries) {
6869361b0c5SLingrui98          when (ptr.value === (i * perSetEntries + j).U) {
68791346769SMuzi            commitStateQueueNext(i * perSetEntries + j) := VecInit(Seq.fill(PredictWidth)(c_empty))
6881c6fc24aSEaston Man            // Clock gating optimization, use 1 gate cell to control a row
6891c6fc24aSEaston Man            commitStateQueueEnable(i * perSetEntries + j) := true.B
6907be982afSLingrui98          }
6917be982afSLingrui98        }
6927be982afSLingrui98      }
6939361b0c5SLingrui98  }
6947be982afSLingrui98
69509c6f1ddSLingrui98  bpuPtr := bpuPtr + enq_fire
696dc270d3bSJenius  copied_bpu_ptr.map(_ := bpuPtr + enq_fire)
697c9bc5480SLingrui98  when (io.toIfu.req.fire && allowToIfu) {
698c5c5edaeSJenius    ifuPtr_write := ifuPtrPlus1
6996bf9b30dSLingrui98    ifuPtrPlus1_write := ifuPtrPlus2
7006bf9b30dSLingrui98    ifuPtrPlus2_write := ifuPtrPlus2 + 1.U
701c9bc5480SLingrui98  }
702b92f8445Sssszwic  when (io.toPrefetch.req.fire && allowToIfu) {
703b92f8445Sssszwic    pfPtr_write := pfPtrPlus1
704b92f8445Sssszwic    pfPtrPlus1_write := pfPtrPlus1 + 1.U
705b92f8445Sssszwic  }
70609c6f1ddSLingrui98
70709c6f1ddSLingrui98  // only use ftb result to assign hit status
708adc0b8dfSGuokai Chen  when (bpu_s2_resp.valid(3)) {
709adc0b8dfSGuokai Chen    entry_hit_status(bpu_s2_resp.ftq_idx.value) := Mux(bpu_s2_resp.full_pred(3).hit, h_hit, h_not_hit)
71009c6f1ddSLingrui98  }
71109c6f1ddSLingrui98
71209c6f1ddSLingrui98
7132f4a3aa4SLingrui98  io.toIfu.flushFromBpu.s2.valid := bpu_s2_redirect
71409c6f1ddSLingrui98  io.toIfu.flushFromBpu.s2.bits := bpu_s2_resp.ftq_idx
715b92f8445Sssszwic  io.toPrefetch.flushFromBpu.s2.valid := bpu_s2_redirect
716b92f8445Sssszwic  io.toPrefetch.flushFromBpu.s2.bits := bpu_s2_resp.ftq_idx
717adc0b8dfSGuokai Chen  when (bpu_s2_redirect) {
71809c6f1ddSLingrui98    bpuPtr := bpu_s2_resp.ftq_idx + 1.U
719dc270d3bSJenius    copied_bpu_ptr.map(_ := bpu_s2_resp.ftq_idx + 1.U)
72009c6f1ddSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
72109c6f1ddSLingrui98    when (!isBefore(ifuPtr, bpu_s2_resp.ftq_idx)) {
722c5c5edaeSJenius      ifuPtr_write := bpu_s2_resp.ftq_idx
723c5c5edaeSJenius      ifuPtrPlus1_write := bpu_s2_resp.ftq_idx + 1.U
7246bf9b30dSLingrui98      ifuPtrPlus2_write := bpu_s2_resp.ftq_idx + 2.U
72509c6f1ddSLingrui98    }
726b92f8445Sssszwic    when (!isBefore(pfPtr, bpu_s2_resp.ftq_idx)) {
727b92f8445Sssszwic      pfPtr_write := bpu_s2_resp.ftq_idx
728b92f8445Sssszwic      pfPtrPlus1_write := bpu_s2_resp.ftq_idx + 1.U
729b92f8445Sssszwic    }
73009c6f1ddSLingrui98  }
73109c6f1ddSLingrui98
732cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.valid := bpu_s3_redirect
733cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.bits := bpu_s3_resp.ftq_idx
734b92f8445Sssszwic  io.toPrefetch.flushFromBpu.s3.valid := bpu_s3_redirect
735b92f8445Sssszwic  io.toPrefetch.flushFromBpu.s3.bits := bpu_s3_resp.ftq_idx
736adc0b8dfSGuokai Chen  when (bpu_s3_redirect) {
737cb4f77ceSLingrui98    bpuPtr := bpu_s3_resp.ftq_idx + 1.U
738dc270d3bSJenius    copied_bpu_ptr.map(_ := bpu_s3_resp.ftq_idx + 1.U)
739cb4f77ceSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
740cb4f77ceSLingrui98    when (!isBefore(ifuPtr, bpu_s3_resp.ftq_idx)) {
741c5c5edaeSJenius      ifuPtr_write := bpu_s3_resp.ftq_idx
742c5c5edaeSJenius      ifuPtrPlus1_write := bpu_s3_resp.ftq_idx + 1.U
7436bf9b30dSLingrui98      ifuPtrPlus2_write := bpu_s3_resp.ftq_idx + 2.U
744cb4f77ceSLingrui98    }
745b92f8445Sssszwic    when (!isBefore(pfPtr, bpu_s3_resp.ftq_idx)) {
746b92f8445Sssszwic      pfPtr_write := bpu_s3_resp.ftq_idx
747b92f8445Sssszwic      pfPtrPlus1_write := bpu_s3_resp.ftq_idx + 1.U
748b92f8445Sssszwic    }
749cb4f77ceSLingrui98  }
750cb4f77ceSLingrui98
75109c6f1ddSLingrui98  XSError(isBefore(bpuPtr, ifuPtr) && !isFull(bpuPtr, ifuPtr), "\nifuPtr is before bpuPtr!\n")
752b92f8445Sssszwic  XSError(isBefore(bpuPtr, pfPtr) && !isFull(bpuPtr, pfPtr), "\npfPtr is before bpuPtr!\n")
7532448f137SGuokai Chen  XSError(isBefore(ifuWbPtr, commPtr) && !isFull(ifuWbPtr, commPtr), "\ncommPtr is before ifuWbPtr!\n")
75409c6f1ddSLingrui98
755dc270d3bSJenius  (0 until copyNum).map{i =>
756dc270d3bSJenius    XSError(copied_bpu_ptr(i) =/= bpuPtr, "\ncopiedBpuPtr is different from bpuPtr!\n")
757dc270d3bSJenius  }
758dc270d3bSJenius
75909c6f1ddSLingrui98  // ****************************************************************
76009c6f1ddSLingrui98  // **************************** to ifu ****************************
76109c6f1ddSLingrui98  // ****************************************************************
762f22cf846SJenius  // 0  for ifu, and 1-4 for ICache
763935edac4STang Haojin  val bpu_in_bypass_buf = RegEnable(ftq_pc_mem.io.wdata, bpu_in_fire)
764935edac4STang Haojin  val copied_bpu_in_bypass_buf = VecInit(Seq.fill(copyNum)(RegEnable(ftq_pc_mem.io.wdata, bpu_in_fire)))
765f56177cbSJenius  val bpu_in_bypass_buf_for_ifu = bpu_in_bypass_buf
7661c6fc24aSEaston Man  val bpu_in_bypass_ptr = RegEnable(bpu_in_resp_ptr, bpu_in_fire)
76709c6f1ddSLingrui98  val last_cycle_to_ifu_fire = RegNext(io.toIfu.req.fire)
768b92f8445Sssszwic  val last_cycle_to_pf_fire = RegNext(io.toPrefetch.req.fire)
76909c6f1ddSLingrui98
7701c6fc24aSEaston Man  val copied_bpu_in_bypass_ptr = VecInit(Seq.fill(copyNum)(RegEnable(bpu_in_resp_ptr, bpu_in_fire)))
771f56177cbSJenius  val copied_last_cycle_to_ifu_fire = VecInit(Seq.fill(copyNum)(RegNext(io.toIfu.req.fire)))
77288bc4f90SLingrui98
77309c6f1ddSLingrui98  // read pc and target
7746bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtr_w       := ifuPtr_write
7756bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtrPlus1_w  := ifuPtrPlus1_write
7766bf9b30dSLingrui98  ftq_pc_mem.io.ifuPtrPlus2_w  := ifuPtrPlus2_write
777b92f8445Sssszwic  ftq_pc_mem.io.pfPtr_w        := pfPtr_write
778b92f8445Sssszwic  ftq_pc_mem.io.pfPtrPlus1_w   := pfPtrPlus1_write
7796bf9b30dSLingrui98  ftq_pc_mem.io.commPtr_w      := commPtr_write
7806bf9b30dSLingrui98  ftq_pc_mem.io.commPtrPlus1_w := commPtrPlus1_write
781c5c5edaeSJenius
78209c6f1ddSLingrui98
7835ff19bd8SLingrui98  io.toIfu.req.bits.ftqIdx := ifuPtr
784f63797a4SLingrui98
785f56177cbSJenius  val toICachePcBundle = Wire(Vec(copyNum,new Ftq_RF_Components))
786dc270d3bSJenius  val toICacheEntryToSend = Wire(Vec(copyNum,Bool()))
787b92f8445Sssszwic  val toPrefetchPcBundle = Wire(new Ftq_RF_Components)
788b92f8445Sssszwic  val toPrefetchEntryToSend = Wire(Bool())
789b37e4b45SLingrui98  val toIfuPcBundle = Wire(new Ftq_RF_Components)
790f63797a4SLingrui98  val entry_is_to_send = WireInit(entry_fetch_status(ifuPtr.value) === f_to_send)
791f63797a4SLingrui98  val entry_ftq_offset = WireInit(cfiIndex_vec(ifuPtr.value))
7926bf9b30dSLingrui98  val entry_next_addr  = Wire(UInt(VAddrBits.W))
793b004fa13SJenius
794f56177cbSJenius  val pc_mem_ifu_ptr_rdata   = VecInit(Seq.fill(copyNum)(RegNext(ftq_pc_mem.io.ifuPtr_rdata)))
795f56177cbSJenius  val pc_mem_ifu_plus1_rdata = VecInit(Seq.fill(copyNum)(RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata)))
796b0ed7239SLingrui98  val diff_entry_next_addr = WireInit(update_target(ifuPtr.value)) //TODO: remove this
797f63797a4SLingrui98
798dc270d3bSJenius  val copied_ifu_plus1_to_send = VecInit(Seq.fill(copyNum)(RegNext(entry_fetch_status(ifuPtrPlus1.value) === f_to_send) || RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1))))
799dc270d3bSJenius  val copied_ifu_ptr_to_send   = VecInit(Seq.fill(copyNum)(RegNext(entry_fetch_status(ifuPtr.value) === f_to_send) || RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr)))
800dc270d3bSJenius
801f56177cbSJenius  for(i <- 0 until copyNum){
802f56177cbSJenius    when(copied_last_cycle_bpu_in(i) && copied_bpu_in_bypass_ptr(i) === copied_ifu_ptr(i)){
803f56177cbSJenius      toICachePcBundle(i) := copied_bpu_in_bypass_buf(i)
804dc270d3bSJenius      toICacheEntryToSend(i)   := true.B
805f56177cbSJenius    }.elsewhen(copied_last_cycle_to_ifu_fire(i)){
806f56177cbSJenius      toICachePcBundle(i) := pc_mem_ifu_plus1_rdata(i)
807dc270d3bSJenius      toICacheEntryToSend(i)   := copied_ifu_plus1_to_send(i)
808f56177cbSJenius    }.otherwise{
809f56177cbSJenius      toICachePcBundle(i) := pc_mem_ifu_ptr_rdata(i)
810dc270d3bSJenius      toICacheEntryToSend(i)   := copied_ifu_ptr_to_send(i)
811f56177cbSJenius    }
812f56177cbSJenius  }
813f56177cbSJenius
814b92f8445Sssszwic  when(last_cycle_bpu_in && bpu_in_bypass_ptr === pfPtr){
815b92f8445Sssszwic    toPrefetchPcBundle      := bpu_in_bypass_buf
816b92f8445Sssszwic    toPrefetchEntryToSend   := true.B
817b92f8445Sssszwic  }.elsewhen(last_cycle_to_pf_fire){
818b92f8445Sssszwic    toPrefetchPcBundle      := RegNext(ftq_pc_mem.io.pfPtrPlus1_rdata)
819b92f8445Sssszwic    toPrefetchEntryToSend   := RegNext(entry_fetch_status(pfPtrPlus1.value) === f_to_send) ||
820b92f8445Sssszwic                               RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === (pfPtrPlus1))
821b92f8445Sssszwic  }.otherwise{
822b92f8445Sssszwic    toPrefetchPcBundle      := RegNext(ftq_pc_mem.io.pfPtr_rdata)
823b92f8445Sssszwic    toPrefetchEntryToSend   := RegNext(entry_fetch_status(pfPtr.value) === f_to_send) ||
824b92f8445Sssszwic                               RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === pfPtr) // reduce potential bubbles
825b92f8445Sssszwic  }
826b92f8445Sssszwic
827873dc383SLingrui98  // TODO: reconsider target address bypass logic
82809c6f1ddSLingrui98  when (last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) {
82988bc4f90SLingrui98    toIfuPcBundle := bpu_in_bypass_buf_for_ifu
830f678dd91SSteve Gou    entry_is_to_send := true.B
8316bf9b30dSLingrui98    entry_next_addr := last_cycle_bpu_target
832f63797a4SLingrui98    entry_ftq_offset := last_cycle_cfiIndex
833b0ed7239SLingrui98    diff_entry_next_addr := last_cycle_bpu_target // TODO: remove this
83409c6f1ddSLingrui98  }.elsewhen (last_cycle_to_ifu_fire) {
835c5c5edaeSJenius    toIfuPcBundle := RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata)
836c5c5edaeSJenius    entry_is_to_send := RegNext(entry_fetch_status(ifuPtrPlus1.value) === f_to_send) ||
837c5c5edaeSJenius                        RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1)) // reduce potential bubbles
838ed434d67SLingrui98    entry_next_addr := Mux(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1),
83988bc4f90SLingrui98                          bpu_in_bypass_buf_for_ifu.startAddr,
840fef810c0SLingrui98                          Mux(ifuPtr === newest_entry_ptr,
8416bf9b30dSLingrui98                            newest_entry_target,
842f83ef67eSLingrui98                            RegNext(ftq_pc_mem.io.ifuPtrPlus2_rdata.startAddr))) // ifuPtr+2
843c5c5edaeSJenius  }.otherwise {
844c5c5edaeSJenius    toIfuPcBundle := RegNext(ftq_pc_mem.io.ifuPtr_rdata)
84528f2cf58SLingrui98    entry_is_to_send := RegNext(entry_fetch_status(ifuPtr.value) === f_to_send) ||
84628f2cf58SLingrui98                        RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) // reduce potential bubbles
8476bf9b30dSLingrui98    entry_next_addr := Mux(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1),
84888bc4f90SLingrui98                          bpu_in_bypass_buf_for_ifu.startAddr,
849fef810c0SLingrui98                          Mux(ifuPtr === newest_entry_ptr,
8506bf9b30dSLingrui98                            newest_entry_target,
851f83ef67eSLingrui98                            RegNext(ftq_pc_mem.io.ifuPtrPlus1_rdata.startAddr))) // ifuPtr+1
85209c6f1ddSLingrui98  }
85309c6f1ddSLingrui98
854f678dd91SSteve Gou  io.toIfu.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
855f63797a4SLingrui98  io.toIfu.req.bits.nextStartAddr := entry_next_addr
856f63797a4SLingrui98  io.toIfu.req.bits.ftqOffset := entry_ftq_offset
857b37e4b45SLingrui98  io.toIfu.req.bits.fromFtqPcBundle(toIfuPcBundle)
858c5c5edaeSJenius
859c5c5edaeSJenius  io.toICache.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
860dc270d3bSJenius  io.toICache.req.bits.readValid.zipWithIndex.map{case(copy, i) => copy := toICacheEntryToSend(i) && copied_ifu_ptr(i) =/= copied_bpu_ptr(i)}
861b92f8445Sssszwic  io.toICache.req.bits.pcMemRead.zipWithIndex.foreach{case(copy,i) =>
862b92f8445Sssszwic    copy.fromFtqPcBundle(toICachePcBundle(i))
863b92f8445Sssszwic    copy.ftqIdx := ifuPtr
864b92f8445Sssszwic  }
865b92f8445Sssszwic
866b92f8445Sssszwic  io.toPrefetch.req.valid := toPrefetchEntryToSend && pfPtr =/= bpuPtr
867b92f8445Sssszwic  io.toPrefetch.req.bits.fromFtqPcBundle(toPrefetchPcBundle)
868b92f8445Sssszwic  io.toPrefetch.req.bits.ftqIdx := pfPtr
869b004fa13SJenius  // io.toICache.req.bits.bypassSelect := last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr
870b004fa13SJenius  // io.toICache.req.bits.bpuBypassWrite.zipWithIndex.map{case(bypassWrtie, i) =>
871b004fa13SJenius  //   bypassWrtie.startAddr := bpu_in_bypass_buf.tail(i).startAddr
872b004fa13SJenius  //   bypassWrtie.nextlineStart := bpu_in_bypass_buf.tail(i).nextLineAddr
873b004fa13SJenius  // }
874f22cf846SJenius
875b0ed7239SLingrui98  // TODO: remove this
876b0ed7239SLingrui98  XSError(io.toIfu.req.valid && diff_entry_next_addr =/= entry_next_addr,
8775a674179SLingrui98          p"\nifu_req_target wrong! ifuPtr: ${ifuPtr}, entry_next_addr: ${Hexadecimal(entry_next_addr)} diff_entry_next_addr: ${Hexadecimal(diff_entry_next_addr)}\n")
878b0ed7239SLingrui98
87909c6f1ddSLingrui98  // when fall through is smaller in value than start address, there must be a false hit
880b37e4b45SLingrui98  when (toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit) {
88109c6f1ddSLingrui98    when (io.toIfu.req.fire &&
882cb4f77ceSLingrui98      !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) &&
883cb4f77ceSLingrui98      !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr)
88409c6f1ddSLingrui98    ) {
88509c6f1ddSLingrui98      entry_hit_status(ifuPtr.value) := h_false_hit
886352db50aSLingrui98      // XSError(true.B, "FTB false hit by fallThroughError, startAddr: %x, fallTHru: %x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
88709c6f1ddSLingrui98    }
888b37e4b45SLingrui98    XSDebug(true.B, "fallThruError! start:%x, fallThru:%x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
88909c6f1ddSLingrui98  }
89009c6f1ddSLingrui98
891a60a2901SLingrui98  XSPerfAccumulate(f"fall_through_error_to_ifu", toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit &&
892a60a2901SLingrui98    io.toIfu.req.fire && !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) && !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr))
893a60a2901SLingrui98
89409c6f1ddSLingrui98  val ifu_req_should_be_flushed =
895cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage2(io.toIfu.req.bits.ftqIdx) ||
896cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage3(io.toIfu.req.bits.ftqIdx)
89709c6f1ddSLingrui98
89809c6f1ddSLingrui98    when (io.toIfu.req.fire && !ifu_req_should_be_flushed) {
89909c6f1ddSLingrui98      entry_fetch_status(ifuPtr.value) := f_sent
90009c6f1ddSLingrui98    }
90109c6f1ddSLingrui98
90209c6f1ddSLingrui98  // *********************************************************************
90309c6f1ddSLingrui98  // **************************** wb from ifu ****************************
90409c6f1ddSLingrui98  // *********************************************************************
90509c6f1ddSLingrui98  val pdWb = io.fromIfu.pdWb
90609c6f1ddSLingrui98  val pds = pdWb.bits.pd
90709c6f1ddSLingrui98  val ifu_wb_valid = pdWb.valid
90809c6f1ddSLingrui98  val ifu_wb_idx = pdWb.bits.ftqIdx.value
90909c6f1ddSLingrui98  // read ports:                                                         commit update
9101c6fc24aSEaston Man  val ftq_pd_mem = Module(new SyncDataModuleTemplate(new Ftq_pd_Entry, FtqSize, 1, 1, hasRen = true))
91109c6f1ddSLingrui98  ftq_pd_mem.io.wen(0) := ifu_wb_valid
91209c6f1ddSLingrui98  ftq_pd_mem.io.waddr(0) := pdWb.bits.ftqIdx.value
91309c6f1ddSLingrui98  ftq_pd_mem.io.wdata(0).fromPdWb(pdWb.bits)
91409c6f1ddSLingrui98
91509c6f1ddSLingrui98  val hit_pd_valid = entry_hit_status(ifu_wb_idx) === h_hit && ifu_wb_valid
91609c6f1ddSLingrui98  val hit_pd_mispred = hit_pd_valid && pdWb.bits.misOffset.valid
91709c6f1ddSLingrui98  val hit_pd_mispred_reg = RegNext(hit_pd_mispred, init=false.B)
918005e809bSJiuyang Liu  val pd_reg       = RegEnable(pds,             pdWb.valid)
919005e809bSJiuyang Liu  val start_pc_reg = RegEnable(pdWb.bits.pc(0), pdWb.valid)
920005e809bSJiuyang Liu  val wb_idx_reg   = RegEnable(ifu_wb_idx,      pdWb.valid)
92109c6f1ddSLingrui98
92209c6f1ddSLingrui98  when (ifu_wb_valid) {
92309c6f1ddSLingrui98    val comm_stq_wen = VecInit(pds.map(_.valid).zip(pdWb.bits.instrRange).map{
92409c6f1ddSLingrui98      case (v, inRange) => v && inRange
92509c6f1ddSLingrui98    })
9261c6fc24aSEaston Man    commitStateQueueEnable(ifu_wb_idx) := true.B
9271c6fc24aSEaston Man    (commitStateQueueNext(ifu_wb_idx) zip comm_stq_wen).map {
9281c6fc24aSEaston Man      case (qe, v) => when(v) {
92991346769SMuzi        qe := c_toCommit
9301c6fc24aSEaston Man      }
93109c6f1ddSLingrui98    }
93209c6f1ddSLingrui98  }
93309c6f1ddSLingrui98
934c5c5edaeSJenius  when (ifu_wb_valid) {
935c5c5edaeSJenius    ifuWbPtr_write := ifuWbPtr + 1.U
936c5c5edaeSJenius  }
93709c6f1ddSLingrui98
938f21bbcb2SGuokai Chen  XSError(ifu_wb_valid && isAfter(pdWb.bits.ftqIdx, ifuPtr), "IFU returned a predecode before its req, check IFU")
939f21bbcb2SGuokai Chen
9401c6fc24aSEaston Man  ftb_entry_mem.io.ren.get.head := ifu_wb_valid
94109c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := ifu_wb_idx
94209c6f1ddSLingrui98  val has_false_hit = WireInit(false.B)
94309c6f1ddSLingrui98  when (RegNext(hit_pd_valid)) {
94409c6f1ddSLingrui98    // check for false hit
94509c6f1ddSLingrui98    val pred_ftb_entry = ftb_entry_mem.io.rdata.head
946eeb5ff92SLingrui98    val brSlots = pred_ftb_entry.brSlots
947eeb5ff92SLingrui98    val tailSlot = pred_ftb_entry.tailSlot
94809c6f1ddSLingrui98    // we check cfis that bpu predicted
94909c6f1ddSLingrui98
950eeb5ff92SLingrui98    // bpu predicted branches but denied by predecode
951eeb5ff92SLingrui98    val br_false_hit =
952eeb5ff92SLingrui98      brSlots.map{
953eeb5ff92SLingrui98        s => s.valid && !(pd_reg(s.offset).valid && pd_reg(s.offset).isBr)
954eeb5ff92SLingrui98      }.reduce(_||_) ||
955b37e4b45SLingrui98      (tailSlot.valid && pred_ftb_entry.tailSlot.sharing &&
956eeb5ff92SLingrui98        !(pd_reg(tailSlot.offset).valid && pd_reg(tailSlot.offset).isBr))
957eeb5ff92SLingrui98
958eeb5ff92SLingrui98    val jmpOffset = tailSlot.offset
95909c6f1ddSLingrui98    val jmp_pd = pd_reg(jmpOffset)
96009c6f1ddSLingrui98    val jal_false_hit = pred_ftb_entry.jmpValid &&
96109c6f1ddSLingrui98      ((pred_ftb_entry.isJal  && !(jmp_pd.valid && jmp_pd.isJal)) ||
96209c6f1ddSLingrui98       (pred_ftb_entry.isJalr && !(jmp_pd.valid && jmp_pd.isJalr)) ||
96309c6f1ddSLingrui98       (pred_ftb_entry.isCall && !(jmp_pd.valid && jmp_pd.isCall)) ||
96409c6f1ddSLingrui98       (pred_ftb_entry.isRet  && !(jmp_pd.valid && jmp_pd.isRet))
96509c6f1ddSLingrui98      )
96609c6f1ddSLingrui98
96709c6f1ddSLingrui98    has_false_hit := br_false_hit || jal_false_hit || hit_pd_mispred_reg
96865fddcf0Szoujr    XSDebug(has_false_hit, "FTB false hit by br or jal or hit_pd, startAddr: %x\n", pdWb.bits.pc(0))
96965fddcf0Szoujr
970352db50aSLingrui98    // assert(!has_false_hit)
97109c6f1ddSLingrui98  }
97209c6f1ddSLingrui98
97309c6f1ddSLingrui98  when (has_false_hit) {
97409c6f1ddSLingrui98    entry_hit_status(wb_idx_reg) := h_false_hit
97509c6f1ddSLingrui98  }
97609c6f1ddSLingrui98
97709c6f1ddSLingrui98  // *******************************************************************************
97809c6f1ddSLingrui98  // **************************** redirect from backend ****************************
97909c6f1ddSLingrui98  // *******************************************************************************
98009c6f1ddSLingrui98
98109c6f1ddSLingrui98  // redirect read cfiInfo, couples to redirectGen s2
98295a47398SGao-Zeyu  // ftqIdxAhead(0-3) => ftq_redirect_mem(1-4), reuse ftq_redirect_mem(1)
983bace178aSGao-Zeyu  val ftq_redirect_rdata = Wire(Vec(FtqRedirectAheadNum, new Ftq_Redirect_SRAMEntry))
984deb3a97eSGao-Zeyu  val ftb_redirect_rdata = Wire(Vec(FtqRedirectAheadNum, new FTBEntry_FtqMem))
98595a47398SGao-Zeyu  for (i <- 1 until FtqRedirectAheadNum) {
98695a47398SGao-Zeyu    ftq_redirect_mem.io.ren.get(i + IfuRedirectNum) := ftqIdxAhead(i).valid
98795a47398SGao-Zeyu    ftq_redirect_mem.io.raddr(i + IfuRedirectNum) := ftqIdxAhead(i).bits.value
98895a47398SGao-Zeyu    ftb_entry_mem.io.ren.get(i + IfuRedirectNum) := ftqIdxAhead(i).valid
98995a47398SGao-Zeyu    ftb_entry_mem.io.raddr(i + IfuRedirectNum) := ftqIdxAhead(i).bits.value
9909342624fSGao-Zeyu  }
99195a47398SGao-Zeyu  ftq_redirect_mem.io.ren.get(IfuRedirectNum) := Mux(aheadValid, ftqIdxAhead(0).valid, backendRedirect.valid)
99295a47398SGao-Zeyu  ftq_redirect_mem.io.raddr(IfuRedirectNum) := Mux(aheadValid, ftqIdxAhead(0).bits.value, backendRedirect.bits.ftqIdx.value)
99395a47398SGao-Zeyu  ftb_entry_mem.io.ren.get(IfuRedirectNum) := Mux(aheadValid, ftqIdxAhead(0).valid, backendRedirect.valid)
99495a47398SGao-Zeyu  ftb_entry_mem.io.raddr(IfuRedirectNum) := Mux(aheadValid, ftqIdxAhead(0).bits.value, backendRedirect.bits.ftqIdx.value)
995bace178aSGao-Zeyu
996bace178aSGao-Zeyu  for (i <- 0 until FtqRedirectAheadNum) {
99795a47398SGao-Zeyu    ftq_redirect_rdata(i) := ftq_redirect_mem.io.rdata(i + IfuRedirectNum)
99895a47398SGao-Zeyu    ftb_redirect_rdata(i) := ftb_entry_mem.io.rdata(i + IfuRedirectNum)
999bace178aSGao-Zeyu  }
100095a47398SGao-Zeyu  val stage3CfiInfo = Mux(realAhdValid, Mux1H(ftqIdxSelOH, ftq_redirect_rdata), ftq_redirect_mem.io.rdata(IfuRedirectNum))
100109c6f1ddSLingrui98  val backendRedirectCfi = fromBackendRedirect.bits.cfiUpdate
100209c6f1ddSLingrui98  backendRedirectCfi.fromFtqRedirectSram(stage3CfiInfo)
100309c6f1ddSLingrui98
1004d2b20d1aSTang Haojin
100595a47398SGao-Zeyu  val r_ftb_entry = Mux(realAhdValid, Mux1H(ftqIdxSelOH, ftb_redirect_rdata), ftb_entry_mem.io.rdata(IfuRedirectNum))
100609c6f1ddSLingrui98  val r_ftqOffset = fromBackendRedirect.bits.ftqOffset
100709c6f1ddSLingrui98
1008d2b20d1aSTang Haojin  backendRedirectCfi.br_hit := r_ftb_entry.brIsSaved(r_ftqOffset)
1009d2b20d1aSTang Haojin  backendRedirectCfi.jr_hit := r_ftb_entry.isJalr && r_ftb_entry.tailSlot.offset === r_ftqOffset
10103711cf36S小造xu_zh  // FIXME: not portable
1011abdc3a32Sxu_zh  val sc_disagree = stage3CfiInfo.sc_disagree.getOrElse(VecInit(Seq.fill(numBr)(false.B)))
1012d2b20d1aSTang Haojin  backendRedirectCfi.sc_hit := backendRedirectCfi.br_hit && Mux(r_ftb_entry.brSlots(0).offset === r_ftqOffset,
1013abdc3a32Sxu_zh    sc_disagree(0), sc_disagree(1))
1014d2b20d1aSTang Haojin
101509c6f1ddSLingrui98  when (entry_hit_status(fromBackendRedirect.bits.ftqIdx.value) === h_hit) {
101609c6f1ddSLingrui98    backendRedirectCfi.shift := PopCount(r_ftb_entry.getBrMaskByOffset(r_ftqOffset)) +&
101709c6f1ddSLingrui98      (backendRedirectCfi.pd.isBr && !r_ftb_entry.brIsSaved(r_ftqOffset) &&
1018eeb5ff92SLingrui98      !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
101909c6f1ddSLingrui98
102009c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr && (r_ftb_entry.brIsSaved(r_ftqOffset) ||
1021eeb5ff92SLingrui98        !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
102209c6f1ddSLingrui98  }.otherwise {
102309c6f1ddSLingrui98    backendRedirectCfi.shift := (backendRedirectCfi.pd.isBr && backendRedirectCfi.taken).asUInt
102409c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr.asUInt
102509c6f1ddSLingrui98  }
102609c6f1ddSLingrui98
102709c6f1ddSLingrui98
102809c6f1ddSLingrui98  // ***************************************************************************
102909c6f1ddSLingrui98  // **************************** redirect from ifu ****************************
103009c6f1ddSLingrui98  // ***************************************************************************
1031d2b20d1aSTang Haojin  val fromIfuRedirect = WireInit(0.U.asTypeOf(Valid(new BranchPredictionRedirect)))
103209c6f1ddSLingrui98  fromIfuRedirect.valid := pdWb.valid && pdWb.bits.misOffset.valid && !backendFlush
103309c6f1ddSLingrui98  fromIfuRedirect.bits.ftqIdx := pdWb.bits.ftqIdx
103409c6f1ddSLingrui98  fromIfuRedirect.bits.ftqOffset := pdWb.bits.misOffset.bits
103509c6f1ddSLingrui98  fromIfuRedirect.bits.level := RedirectLevel.flushAfter
1036d2b20d1aSTang Haojin  fromIfuRedirect.bits.BTBMissBubble := true.B
1037d2b20d1aSTang Haojin  fromIfuRedirect.bits.debugIsMemVio := false.B
1038d2b20d1aSTang Haojin  fromIfuRedirect.bits.debugIsCtrl := false.B
103909c6f1ddSLingrui98
104009c6f1ddSLingrui98  val ifuRedirectCfiUpdate = fromIfuRedirect.bits.cfiUpdate
104109c6f1ddSLingrui98  ifuRedirectCfiUpdate.pc := pdWb.bits.pc(pdWb.bits.misOffset.bits)
104209c6f1ddSLingrui98  ifuRedirectCfiUpdate.pd := pdWb.bits.pd(pdWb.bits.misOffset.bits)
104309c6f1ddSLingrui98  ifuRedirectCfiUpdate.predTaken := cfiIndex_vec(pdWb.bits.ftqIdx.value).valid
104409c6f1ddSLingrui98  ifuRedirectCfiUpdate.target := pdWb.bits.target
104509c6f1ddSLingrui98  ifuRedirectCfiUpdate.taken := pdWb.bits.cfiOffset.valid
104609c6f1ddSLingrui98  ifuRedirectCfiUpdate.isMisPred := pdWb.bits.misOffset.valid
104709c6f1ddSLingrui98
10481c6fc24aSEaston Man  val ifuRedirectReg = RegNextWithEnable(fromIfuRedirect, hasInit = true)
104909c6f1ddSLingrui98  val ifuRedirectToBpu = WireInit(ifuRedirectReg)
105009c6f1ddSLingrui98  ifuFlush := fromIfuRedirect.valid || ifuRedirectToBpu.valid
105109c6f1ddSLingrui98
105216a171eeSEaston Man  ftq_redirect_mem.io.ren.get.head := fromIfuRedirect.valid
1053deb3a97eSGao-Zeyu  ftq_redirect_mem.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
105409c6f1ddSLingrui98
105509c6f1ddSLingrui98  val toBpuCfi = ifuRedirectToBpu.bits.cfiUpdate
1056deb3a97eSGao-Zeyu  toBpuCfi.fromFtqRedirectSram(ftq_redirect_mem.io.rdata.head)
1057f1267a13SEaston Man  when (ifuRedirectReg.bits.cfiUpdate.pd.isRet && ifuRedirectReg.bits.cfiUpdate.pd.valid) {
1058c89b4642SGuokai Chen    toBpuCfi.target := toBpuCfi.topAddr
105909c6f1ddSLingrui98  }
106009c6f1ddSLingrui98
1061d2b20d1aSTang Haojin  when (ifuRedirectReg.valid) {
1062d2b20d1aSTang Haojin    ifuRedirected(ifuRedirectReg.bits.ftqIdx.value) := true.B
1063d2b20d1aSTang Haojin  } .elsewhen(RegNext(pdWb.valid)) {
1064d2b20d1aSTang Haojin    // if pdWb and no redirect, set to false
1065d2b20d1aSTang Haojin    ifuRedirected(last_cycle_bpu_in_ptr.value) := false.B
1066d2b20d1aSTang Haojin  }
1067d2b20d1aSTang Haojin
10686022c595SsinceforYy  // **********************************************************************
10696022c595SsinceforYy  // ***************************** to backend *****************************
10706022c595SsinceforYy  // **********************************************************************
10716022c595SsinceforYy  // to backend pc mem / target
10726022c595SsinceforYy  io.toBackend.pc_mem_wen := RegNext(last_cycle_bpu_in)
1073f533cba7SHuSipeng  io.toBackend.pc_mem_waddr := RegEnable(last_cycle_bpu_in_idx, last_cycle_bpu_in)
10746022c595SsinceforYy  io.toBackend.pc_mem_wdata := RegEnable(bpu_in_bypass_buf_for_ifu, last_cycle_bpu_in)
10756022c595SsinceforYy
10766022c595SsinceforYy  // num cycle is fixed
10776022c595SsinceforYy  val newest_entry_en: Bool = RegNext(last_cycle_bpu_in || backendRedirect.valid || ifuRedirectToBpu.valid)
10786022c595SsinceforYy  io.toBackend.newest_entry_en := RegNext(newest_entry_en)
10796022c595SsinceforYy  io.toBackend.newest_entry_ptr := RegEnable(newest_entry_ptr, newest_entry_en)
10806022c595SsinceforYy  io.toBackend.newest_entry_target := RegEnable(newest_entry_target, newest_entry_en)
10816022c595SsinceforYy
108209c6f1ddSLingrui98  // *********************************************************************
108309c6f1ddSLingrui98  // **************************** wb from exu ****************************
108409c6f1ddSLingrui98  // *********************************************************************
108509c6f1ddSLingrui98
1086d2b20d1aSTang Haojin  backendRedirect.valid := io.fromBackend.redirect.valid
1087d2b20d1aSTang Haojin  backendRedirect.bits.connectRedirect(io.fromBackend.redirect.bits)
1088d2b20d1aSTang Haojin  backendRedirect.bits.BTBMissBubble := false.B
1089d2b20d1aSTang Haojin
10902e1be6e1SSteve Gou
109109c6f1ddSLingrui98  def extractRedirectInfo(wb: Valid[Redirect]) = {
10926bf9b30dSLingrui98    val ftqPtr = wb.bits.ftqIdx
109309c6f1ddSLingrui98    val ftqOffset = wb.bits.ftqOffset
109409c6f1ddSLingrui98    val taken = wb.bits.cfiUpdate.taken
109509c6f1ddSLingrui98    val mispred = wb.bits.cfiUpdate.isMisPred
10966bf9b30dSLingrui98    (wb.valid, ftqPtr, ftqOffset, taken, mispred)
109709c6f1ddSLingrui98  }
109809c6f1ddSLingrui98
109909c6f1ddSLingrui98  // fix mispredict entry
110009c6f1ddSLingrui98  val lastIsMispredict = RegNext(
1101df5b4b8eSYinan Xu    backendRedirect.valid && backendRedirect.bits.level === RedirectLevel.flushAfter, init = false.B
110209c6f1ddSLingrui98  )
110309c6f1ddSLingrui98
110409c6f1ddSLingrui98  def updateCfiInfo(redirect: Valid[Redirect], isBackend: Boolean = true) = {
11056bf9b30dSLingrui98    val (r_valid, r_ptr, r_offset, r_taken, r_mispred) = extractRedirectInfo(redirect)
11066bf9b30dSLingrui98    val r_idx = r_ptr.value
110709c6f1ddSLingrui98    val cfiIndex_bits_wen = r_valid && r_taken && r_offset < cfiIndex_vec(r_idx).bits
110809c6f1ddSLingrui98    val cfiIndex_valid_wen = r_valid && r_offset === cfiIndex_vec(r_idx).bits
110909c6f1ddSLingrui98    when (cfiIndex_bits_wen || cfiIndex_valid_wen) {
111009c6f1ddSLingrui98      cfiIndex_vec(r_idx).valid := cfiIndex_bits_wen || cfiIndex_valid_wen && r_taken
11113f88c020SGuokai Chen    } .elsewhen (r_valid && !r_taken && r_offset =/= cfiIndex_vec(r_idx).bits) {
11123f88c020SGuokai Chen      cfiIndex_vec(r_idx).valid :=false.B
111309c6f1ddSLingrui98    }
111409c6f1ddSLingrui98    when (cfiIndex_bits_wen) {
111509c6f1ddSLingrui98      cfiIndex_vec(r_idx).bits := r_offset
111609c6f1ddSLingrui98    }
11171c6fc24aSEaston Man    newest_entry_target_modified := true.B
11186bf9b30dSLingrui98    newest_entry_target := redirect.bits.cfiUpdate.target
11191c6fc24aSEaston Man    newest_entry_ptr_modified := true.B
1120873dc383SLingrui98    newest_entry_ptr := r_ptr
11211c6fc24aSEaston Man
1122b0ed7239SLingrui98    update_target(r_idx) := redirect.bits.cfiUpdate.target // TODO: remove this
112309c6f1ddSLingrui98    if (isBackend) {
112409c6f1ddSLingrui98      mispredict_vec(r_idx)(r_offset) := r_mispred
112509c6f1ddSLingrui98    }
112609c6f1ddSLingrui98  }
112709c6f1ddSLingrui98
1128bace178aSGao-Zeyu  when(fromBackendRedirect.valid) {
1129bace178aSGao-Zeyu    updateCfiInfo(fromBackendRedirect)
113009c6f1ddSLingrui98  }.elsewhen (ifuRedirectToBpu.valid) {
113109c6f1ddSLingrui98    updateCfiInfo(ifuRedirectToBpu, isBackend=false)
113209c6f1ddSLingrui98  }
113309c6f1ddSLingrui98
1134bace178aSGao-Zeyu  when (fromBackendRedirect.valid) {
1135bace178aSGao-Zeyu    when (fromBackendRedirect.bits.ControlRedirectBubble) {
1136d2b20d1aSTang Haojin      when (fromBackendRedirect.bits.ControlBTBMissBubble) {
1137d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.BTBMissBubble.id) := true.B
1138d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
1139d2b20d1aSTang Haojin      } .elsewhen (fromBackendRedirect.bits.TAGEMissBubble) {
1140d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.TAGEMissBubble.id) := true.B
1141d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.TAGEMissBubble.id) := true.B
1142d2b20d1aSTang Haojin      } .elsewhen (fromBackendRedirect.bits.SCMissBubble) {
1143d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.SCMissBubble.id) := true.B
1144d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.SCMissBubble.id) := true.B
1145d2b20d1aSTang Haojin      } .elsewhen (fromBackendRedirect.bits.ITTAGEMissBubble) {
1146d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
1147d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
1148d2b20d1aSTang Haojin      } .elsewhen (fromBackendRedirect.bits.RASMissBubble) {
1149d2b20d1aSTang Haojin        topdown_stage.reasons(TopDownCounters.RASMissBubble.id) := true.B
1150d2b20d1aSTang Haojin        io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.RASMissBubble.id) := true.B
1151d2b20d1aSTang Haojin      }
1152d2b20d1aSTang Haojin
1153d2b20d1aSTang Haojin
11549342624fSGao-Zeyu    } .elsewhen (backendRedirect.bits.MemVioRedirectBubble) {
1155d2b20d1aSTang Haojin      topdown_stage.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
1156d2b20d1aSTang Haojin      io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
1157d2b20d1aSTang Haojin    } .otherwise {
1158d2b20d1aSTang Haojin      topdown_stage.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
1159d2b20d1aSTang Haojin      io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
1160d2b20d1aSTang Haojin    }
1161d2b20d1aSTang Haojin  } .elsewhen (ifuRedirectReg.valid) {
1162d2b20d1aSTang Haojin    topdown_stage.reasons(TopDownCounters.BTBMissBubble.id) := true.B
1163d2b20d1aSTang Haojin    io.toIfu.req.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
1164d2b20d1aSTang Haojin  }
1165d2b20d1aSTang Haojin
1166d2b20d1aSTang Haojin  io.ControlBTBMissBubble := fromBackendRedirect.bits.ControlBTBMissBubble
1167d2b20d1aSTang Haojin  io.TAGEMissBubble := fromBackendRedirect.bits.TAGEMissBubble
1168d2b20d1aSTang Haojin  io.SCMissBubble := fromBackendRedirect.bits.SCMissBubble
1169d2b20d1aSTang Haojin  io.ITTAGEMissBubble := fromBackendRedirect.bits.ITTAGEMissBubble
1170d2b20d1aSTang Haojin  io.RASMissBubble := fromBackendRedirect.bits.RASMissBubble
1171d2b20d1aSTang Haojin
117209c6f1ddSLingrui98  // ***********************************************************************************
117309c6f1ddSLingrui98  // **************************** flush ptr and state queue ****************************
117409c6f1ddSLingrui98  // ***********************************************************************************
117509c6f1ddSLingrui98
1176df5b4b8eSYinan Xu  val redirectVec = VecInit(backendRedirect, fromIfuRedirect)
117709c6f1ddSLingrui98
117809c6f1ddSLingrui98  // when redirect, we should reset ptrs and status queues
1179b92f8445Sssszwic  io.icacheFlush := redirectVec.map(r => r.valid).reduce(_||_)
1180b92f8445Sssszwic  XSPerfAccumulate("icacheFlushFromBackend", backendRedirect.valid)
1181b92f8445Sssszwic  XSPerfAccumulate("icacheFlushFromIFU", fromIfuRedirect.valid)
118209c6f1ddSLingrui98  when(redirectVec.map(r => r.valid).reduce(_||_)){
11832f4a3aa4SLingrui98    val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
118409c6f1ddSLingrui98    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
11852f4a3aa4SLingrui98    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
118609c6f1ddSLingrui98    val next = idx + 1.U
118709c6f1ddSLingrui98    bpuPtr := next
1188dc270d3bSJenius    copied_bpu_ptr.map(_ := next)
1189c5c5edaeSJenius    ifuPtr_write := next
1190c5c5edaeSJenius    ifuWbPtr_write := next
1191c5c5edaeSJenius    ifuPtrPlus1_write := idx + 2.U
11926bf9b30dSLingrui98    ifuPtrPlus2_write := idx + 3.U
1193b92f8445Sssszwic    pfPtr_write := next
1194b92f8445Sssszwic    pfPtrPlus1_write := idx + 2.U
11953f88c020SGuokai Chen  }
11963f88c020SGuokai Chen  when(RegNext(redirectVec.map(r => r.valid).reduce(_||_))){
11973f88c020SGuokai Chen    val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
11983f88c020SGuokai Chen    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
11993f88c020SGuokai Chen    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
12003f88c020SGuokai Chen    when (RegNext(notIfu)) {
12011c6fc24aSEaston Man      commitStateQueueEnable(RegNext(idx.value)) := true.B
12021c6fc24aSEaston Man      commitStateQueueNext(RegNext(idx.value)).zipWithIndex.foreach({ case (s, i) =>
120391346769SMuzi        when(i.U > RegNext(offset)) {
120491346769SMuzi          s := c_empty
120591346769SMuzi        }
120691346769SMuzi        when (i.U === RegNext(offset) && RegNext(flushItSelf)) {
120791346769SMuzi          s := c_flushed
120809c6f1ddSLingrui98        }
120909c6f1ddSLingrui98      })
121009c6f1ddSLingrui98    }
121109c6f1ddSLingrui98  }
121209c6f1ddSLingrui98
12133f88c020SGuokai Chen
121409c6f1ddSLingrui98  // only the valid bit is actually needed
1215df5b4b8eSYinan Xu  io.toIfu.redirect.bits    := backendRedirect.bits
121609c6f1ddSLingrui98  io.toIfu.redirect.valid   := stage2Flush
1217d2b20d1aSTang Haojin  io.toIfu.topdown_redirect := fromBackendRedirect
121809c6f1ddSLingrui98
121909c6f1ddSLingrui98  // commit
12209aca92b9SYinan Xu  for (c <- io.fromBackend.rob_commits) {
122109c6f1ddSLingrui98    when(c.valid) {
12221c6fc24aSEaston Man      commitStateQueueEnable(c.bits.ftqIdx.value) := true.B
122391346769SMuzi      commitStateQueueNext(c.bits.ftqIdx.value)(c.bits.ftqOffset) := c_committed
122488825c5cSYinan Xu      // TODO: remove this
122588825c5cSYinan Xu      // For instruction fusions, we also update the next instruction
1226c3abb8b6SYinan Xu      when (c.bits.commitType === 4.U) {
122791346769SMuzi        commitStateQueueNext(c.bits.ftqIdx.value)(c.bits.ftqOffset + 1.U) := c_committed
1228c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 5.U) {
122991346769SMuzi        commitStateQueueNext(c.bits.ftqIdx.value)(c.bits.ftqOffset + 2.U) := c_committed
1230c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 6.U) {
123188825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
12321c6fc24aSEaston Man        commitStateQueueEnable(index) := true.B
123391346769SMuzi        commitStateQueueNext(index)(0) := c_committed
1234c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 7.U) {
123588825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
12361c6fc24aSEaston Man        commitStateQueueEnable(index) := true.B
123791346769SMuzi        commitStateQueueNext(index)(1) := c_committed
123888825c5cSYinan Xu      }
123909c6f1ddSLingrui98    }
124009c6f1ddSLingrui98  }
124109c6f1ddSLingrui98
124209c6f1ddSLingrui98  // ****************************************************************
124309c6f1ddSLingrui98  // **************************** to bpu ****************************
124409c6f1ddSLingrui98  // ****************************************************************
124509c6f1ddSLingrui98
1246fd3aa057SYuandongliang  io.toBpu.redirctFromIFU := ifuRedirectToBpu.valid
124751981c77SbugGenerator  io.toBpu.redirect := Mux(fromBackendRedirect.valid, fromBackendRedirect, ifuRedirectToBpu)
1248209a4cafSSteve Gou  val dummy_s1_pred_cycle_vec = VecInit(List.tabulate(FtqSize)(_=>0.U(64.W)))
1249209a4cafSSteve Gou  val redirect_latency = GTimer() - pred_s1_cycle.getOrElse(dummy_s1_pred_cycle_vec)(io.toBpu.redirect.bits.ftqIdx.value) + 1.U
1250209a4cafSSteve Gou  XSPerfHistogram("backend_redirect_latency", redirect_latency, fromBackendRedirect.valid, 0, 60, 1)
1251209a4cafSSteve Gou  XSPerfHistogram("ifu_redirect_latency", redirect_latency, !fromBackendRedirect.valid && ifuRedirectToBpu.valid, 0, 60, 1)
125209c6f1ddSLingrui98
1253f21bbcb2SGuokai Chen  XSError(io.toBpu.redirect.valid && isBefore(io.toBpu.redirect.bits.ftqIdx, commPtr), "Ftq received a redirect after its commit, check backend or replay")
125409c6f1ddSLingrui98
125502f21c16SLingrui98  val may_have_stall_from_bpu = Wire(Bool())
125602f21c16SLingrui98  val bpu_ftb_update_stall = RegInit(0.U(2.W)) // 2-cycle stall, so we need 3 states
125702f21c16SLingrui98  may_have_stall_from_bpu := bpu_ftb_update_stall =/= 0.U
12589230e379SMuzi
12599230e379SMuzi  val validInstructions = commitStateQueueReg(commPtr.value).map(s => s === c_toCommit || s === c_committed)
12609230e379SMuzi  val lastInstructionStatus = PriorityMux(validInstructions.reverse.zip(commitStateQueueReg(commPtr.value).reverse))
12619230e379SMuzi  val firstInstructionFlushed = commitStateQueueReg(commPtr.value)(0) === c_flushed
12629230e379SMuzi  canCommit := commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
12639230e379SMuzi    (isAfter(robCommPtr, commPtr) ||
12649230e379SMuzi      validInstructions.reduce(_ || _) && lastInstructionStatus === c_committed)
12659230e379SMuzi  val canMoveCommPtr = commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
12669230e379SMuzi    (isAfter(robCommPtr, commPtr) ||
12679230e379SMuzi      validInstructions.reduce(_ || _) && lastInstructionStatus === c_committed ||
12689230e379SMuzi      firstInstructionFlushed)
126991346769SMuzi
127091346769SMuzi  when (io.fromBackend.rob_commits.map(_.valid).reduce(_ | _)) {
127191346769SMuzi    robCommPtr_write := ParallelPriorityMux(io.fromBackend.rob_commits.map(_.valid).reverse, io.fromBackend.rob_commits.map(_.bits.ftqIdx).reverse)
12729230e379SMuzi  } .elsewhen (isAfter(commPtr, robCommPtr)) {
127391346769SMuzi    robCommPtr_write := commPtr
127491346769SMuzi  } .otherwise {
127591346769SMuzi    robCommPtr_write := robCommPtr
127691346769SMuzi  }
127709c6f1ddSLingrui98
1278ba5ba1dcSmy-mayfly  /**
1279ba5ba1dcSmy-mayfly    *************************************************************************************
1280ba5ba1dcSmy-mayfly    * MMIO instruction fetch is allowed only if MMIO is the oldest instruction.
1281ba5ba1dcSmy-mayfly    *************************************************************************************
1282ba5ba1dcSmy-mayfly    */
12831d1e6d4dSJenius  val mmioReadPtr = io.mmioCommitRead.mmioFtqPtr
12849230e379SMuzi  val mmioLastCommit = isAfter(commPtr, mmioReadPtr) ||
12859230e379SMuzi    commPtr === mmioReadPtr && validInstructions.reduce(_ || _) && lastInstructionStatus === c_committed
12861d1e6d4dSJenius  io.mmioCommitRead.mmioLastCommit := RegNext(mmioLastCommit)
12871d1e6d4dSJenius
128809c6f1ddSLingrui98  // commit reads
1289c5c5edaeSJenius  val commit_pc_bundle = RegNext(ftq_pc_mem.io.commPtr_rdata)
129081101dc4SLingrui98  val commit_target =
129134cf890eSLingrui98    Mux(RegNext(commPtr === newest_entry_ptr),
12921c6fc24aSEaston Man      RegEnable(newest_entry_target, newest_entry_target_modified),
129381101dc4SLingrui98      RegNext(ftq_pc_mem.io.commPtrPlus1_rdata.startAddr))
12941c6fc24aSEaston Man  ftq_pd_mem.io.ren.get.last := canCommit
129509c6f1ddSLingrui98  ftq_pd_mem.io.raddr.last := commPtr.value
129609c6f1ddSLingrui98  val commit_pd = ftq_pd_mem.io.rdata.last
129716a171eeSEaston Man  ftq_redirect_mem.io.ren.get.last := canCommit
1298deb3a97eSGao-Zeyu  ftq_redirect_mem.io.raddr.last := commPtr.value
1299deb3a97eSGao-Zeyu  val commit_spec_meta = ftq_redirect_mem.io.rdata.last
130009c6f1ddSLingrui98  ftq_meta_1r_sram.io.ren(0) := canCommit
130109c6f1ddSLingrui98  ftq_meta_1r_sram.io.raddr(0) := commPtr.value
1302deb3a97eSGao-Zeyu  val commit_meta = ftq_meta_1r_sram.io.rdata(0).meta
1303deb3a97eSGao-Zeyu  val commit_ftb_entry = ftq_meta_1r_sram.io.rdata(0).ftb_entry
130409c6f1ddSLingrui98
130509c6f1ddSLingrui98  // need one cycle to read mem and srams
13061c6fc24aSEaston Man  val do_commit_ptr = RegEnable(commPtr, canCommit)
13075371700eSzoujr  val do_commit = RegNext(canCommit, init=false.B)
13089230e379SMuzi  when (canMoveCommPtr) {
13096bf9b30dSLingrui98    commPtr_write := commPtrPlus1
13106bf9b30dSLingrui98    commPtrPlus1_write := commPtrPlus1 + 1.U
13116bf9b30dSLingrui98  }
13121c6fc24aSEaston Man  val commit_state = RegEnable(commitStateQueueReg(commPtr.value), canCommit)
13135371700eSzoujr  val can_commit_cfi = WireInit(cfiIndex_vec(commPtr.value))
1314d4fcfc3eSGuokai Chen  val do_commit_cfi = WireInit(cfiIndex_vec(do_commit_ptr.value))
13153f88c020SGuokai Chen  //
13163f88c020SGuokai Chen  //when (commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited) {
13173f88c020SGuokai Chen  //  can_commit_cfi.valid := false.B
13183f88c020SGuokai Chen  //}
13191c6fc24aSEaston Man  val commit_cfi = RegEnable(can_commit_cfi, canCommit)
132091346769SMuzi  val debug_cfi = commitStateQueueReg(do_commit_ptr.value)(do_commit_cfi.bits) =/= c_committed && do_commit_cfi.valid
132109c6f1ddSLingrui98
13221c6fc24aSEaston Man  val commit_mispredict  : Vec[Bool] = VecInit((RegEnable(mispredict_vec(commPtr.value), canCommit) zip commit_state).map {
132391346769SMuzi    case (mis, state) => mis && state === c_committed
132409c6f1ddSLingrui98  })
132591346769SMuzi  val commit_instCommited: Vec[Bool] = VecInit(commit_state.map(_ === c_committed)) // [PredictWidth]
13265371700eSzoujr  val can_commit_hit                 = entry_hit_status(commPtr.value)
13271c6fc24aSEaston Man  val commit_hit                     = RegEnable(can_commit_hit, canCommit)
13281c6fc24aSEaston Man  val diff_commit_target             = RegEnable(update_target(commPtr.value), canCommit) // TODO: remove this
13291c6fc24aSEaston Man  val commit_stage                   = RegEnable(pred_stage(commPtr.value), canCommit)
133009c6f1ddSLingrui98  val commit_valid                   = commit_hit === h_hit || commit_cfi.valid // hit or taken
133109c6f1ddSLingrui98
13325371700eSzoujr  val to_bpu_hit = can_commit_hit === h_hit || can_commit_hit === h_false_hit
133302f21c16SLingrui98  switch (bpu_ftb_update_stall) {
133402f21c16SLingrui98    is (0.U) {
133502f21c16SLingrui98      when (can_commit_cfi.valid && !to_bpu_hit && canCommit) {
133602f21c16SLingrui98        bpu_ftb_update_stall := 2.U // 2-cycle stall
133702f21c16SLingrui98      }
133802f21c16SLingrui98    }
133902f21c16SLingrui98    is (2.U) {
134002f21c16SLingrui98      bpu_ftb_update_stall := 1.U
134102f21c16SLingrui98    }
134202f21c16SLingrui98    is (1.U) {
134302f21c16SLingrui98      bpu_ftb_update_stall := 0.U
134402f21c16SLingrui98    }
134502f21c16SLingrui98    is (3.U) {
134602f21c16SLingrui98      XSError(true.B, "bpu_ftb_update_stall should be 0, 1 or 2")
134702f21c16SLingrui98    }
134802f21c16SLingrui98  }
134909c6f1ddSLingrui98
1350b0ed7239SLingrui98  // TODO: remove this
1351b0ed7239SLingrui98  XSError(do_commit && diff_commit_target =/= commit_target, "\ncommit target should be the same as update target\n")
1352b0ed7239SLingrui98
1353b2f6ed0aSSteve Gou  // update latency stats
1354b2f6ed0aSSteve Gou  val update_latency = GTimer() - pred_s1_cycle.getOrElse(dummy_s1_pred_cycle_vec)(do_commit_ptr.value) + 1.U
1355b2f6ed0aSSteve Gou  XSPerfHistogram("bpu_update_latency", update_latency, io.toBpu.update.valid, 0, 64, 2)
1356b2f6ed0aSSteve Gou
135709c6f1ddSLingrui98  io.toBpu.update := DontCare
135809c6f1ddSLingrui98  io.toBpu.update.valid := commit_valid && do_commit
135909c6f1ddSLingrui98  val update = io.toBpu.update.bits
136009c6f1ddSLingrui98  update.false_hit   := commit_hit === h_false_hit
136109c6f1ddSLingrui98  update.pc          := commit_pc_bundle.startAddr
1362deb3a97eSGao-Zeyu  update.meta        := commit_meta
1363803124a6SLingrui98  update.cfi_idx     := commit_cfi
13648ffcd86aSLingrui98  update.full_target := commit_target
1365edc18578SLingrui98  update.from_stage  := commit_stage
1366c2d1ec7dSLingrui98  update.spec_info   := commit_spec_meta
13673f88c020SGuokai Chen  XSError(commit_valid && do_commit && debug_cfi, "\ncommit cfi can be non c_commited\n")
136809c6f1ddSLingrui98
136909c6f1ddSLingrui98  val commit_real_hit = commit_hit === h_hit
137009c6f1ddSLingrui98  val update_ftb_entry = update.ftb_entry
137109c6f1ddSLingrui98
137209c6f1ddSLingrui98  val ftbEntryGen = Module(new FTBEntryGen).io
137309c6f1ddSLingrui98  ftbEntryGen.start_addr     := commit_pc_bundle.startAddr
137409c6f1ddSLingrui98  ftbEntryGen.old_entry      := commit_ftb_entry
137509c6f1ddSLingrui98  ftbEntryGen.pd             := commit_pd
137609c6f1ddSLingrui98  ftbEntryGen.cfiIndex       := commit_cfi
137709c6f1ddSLingrui98  ftbEntryGen.target         := commit_target
137809c6f1ddSLingrui98  ftbEntryGen.hit            := commit_real_hit
137909c6f1ddSLingrui98  ftbEntryGen.mispredict_vec := commit_mispredict
138009c6f1ddSLingrui98
138109c6f1ddSLingrui98  update_ftb_entry         := ftbEntryGen.new_entry
138209c6f1ddSLingrui98  update.new_br_insert_pos := ftbEntryGen.new_br_insert_pos
138309c6f1ddSLingrui98  update.mispred_mask      := ftbEntryGen.mispred_mask
138409c6f1ddSLingrui98  update.old_entry         := ftbEntryGen.is_old_entry
1385edc18578SLingrui98  update.pred_hit          := commit_hit === h_hit || commit_hit === h_false_hit
1386803124a6SLingrui98  update.br_taken_mask     := ftbEntryGen.taken_mask
1387cc2d1573SEaston Man  update.br_committed      := (ftbEntryGen.new_entry.brValids zip ftbEntryGen.new_entry.brOffset) map {
1388cc2d1573SEaston Man    case (valid, offset) => valid && commit_instCommited(offset)
1389cc2d1573SEaston Man  }
1390803124a6SLingrui98  update.jmp_taken         := ftbEntryGen.jmp_taken
1391b37e4b45SLingrui98
1392803124a6SLingrui98  // update.full_pred.fromFtbEntry(ftbEntryGen.new_entry, update.pc)
1393803124a6SLingrui98  // update.full_pred.jalr_target := commit_target
1394803124a6SLingrui98  // update.full_pred.hit := true.B
1395803124a6SLingrui98  // when (update.full_pred.is_jalr) {
1396803124a6SLingrui98  //   update.full_pred.targets.last := commit_target
1397803124a6SLingrui98  // }
139809c6f1ddSLingrui98
139909c6f1ddSLingrui98  // ******************************************************************************
140009c6f1ddSLingrui98  // **************************** commit perf counters ****************************
140109c6f1ddSLingrui98  // ******************************************************************************
140209c6f1ddSLingrui98
140391346769SMuzi  val commit_inst_mask    = VecInit(commit_state.map(c => c === c_committed && do_commit)).asUInt
140409c6f1ddSLingrui98  val commit_mispred_mask = commit_mispredict.asUInt
140509c6f1ddSLingrui98  val commit_not_mispred_mask = ~commit_mispred_mask
140609c6f1ddSLingrui98
140709c6f1ddSLingrui98  val commit_br_mask = commit_pd.brMask.asUInt
140809c6f1ddSLingrui98  val commit_jmp_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.jmpInfo.valid.asTypeOf(UInt(1.W)))
140909c6f1ddSLingrui98  val commit_cfi_mask = (commit_br_mask | commit_jmp_mask)
141009c6f1ddSLingrui98
141109c6f1ddSLingrui98  val mbpInstrs = commit_inst_mask & commit_cfi_mask
141209c6f1ddSLingrui98
141309c6f1ddSLingrui98  val mbpRights = mbpInstrs & commit_not_mispred_mask
141409c6f1ddSLingrui98  val mbpWrongs = mbpInstrs & commit_mispred_mask
141509c6f1ddSLingrui98
141609c6f1ddSLingrui98  io.bpuInfo.bpRight := PopCount(mbpRights)
141709c6f1ddSLingrui98  io.bpuInfo.bpWrong := PopCount(mbpWrongs)
141809c6f1ddSLingrui98
1419b92f8445Sssszwic  val hartId = p(XSCoreParamsKey).HartId
1420c686adcdSYinan Xu  val isWriteFTQTable = Constantin.createRecord(s"isWriteFTQTable$hartId")
1421c686adcdSYinan Xu  val ftqBranchTraceDB = ChiselDB.createTable(s"FTQTable$hartId", new FtqDebugBundle)
142209c6f1ddSLingrui98  // Cfi Info
142309c6f1ddSLingrui98  for (i <- 0 until PredictWidth) {
142409c6f1ddSLingrui98    val pc = commit_pc_bundle.startAddr + (i * instBytes).U
142591346769SMuzi    val v = commit_state(i) === c_committed
142609c6f1ddSLingrui98    val isBr = commit_pd.brMask(i)
142709c6f1ddSLingrui98    val isJmp = commit_pd.jmpInfo.valid && commit_pd.jmpOffset === i.U
142809c6f1ddSLingrui98    val isCfi = isBr || isJmp
142909c6f1ddSLingrui98    val isTaken = commit_cfi.valid && commit_cfi.bits === i.U
143009c6f1ddSLingrui98    val misPred = commit_mispredict(i)
1431c2ad24ebSLingrui98    // val ghist = commit_spec_meta.ghist.predHist
1432c2ad24ebSLingrui98    val histPtr = commit_spec_meta.histPtr
1433deb3a97eSGao-Zeyu    val predCycle = commit_meta(63, 0)
143409c6f1ddSLingrui98    val target = commit_target
143509c6f1ddSLingrui98
143609c6f1ddSLingrui98    val brIdx = OHToUInt(Reverse(Cat(update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U})))
143709c6f1ddSLingrui98    val inFtbEntry = update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U}.reduce(_||_)
143809c6f1ddSLingrui98    val addIntoHist = ((commit_hit === h_hit) && inFtbEntry) || ((!(commit_hit === h_hit) && i.U === commit_cfi.bits && isBr && commit_cfi.valid))
143909c6f1ddSLingrui98    XSDebug(v && do_commit && isCfi, p"cfi_update: isBr(${isBr}) pc(${Hexadecimal(pc)}) " +
1440c2ad24ebSLingrui98    p"taken(${isTaken}) mispred(${misPred}) cycle($predCycle) hist(${histPtr.value}) " +
144109c6f1ddSLingrui98    p"startAddr(${Hexadecimal(commit_pc_bundle.startAddr)}) AddIntoHist(${addIntoHist}) " +
144209c6f1ddSLingrui98    p"brInEntry(${inFtbEntry}) brIdx(${brIdx}) target(${Hexadecimal(target)})\n")
144351532d8bSGuokai Chen
144451532d8bSGuokai Chen    val logbundle = Wire(new FtqDebugBundle)
144551532d8bSGuokai Chen    logbundle.pc := pc
144651532d8bSGuokai Chen    logbundle.target := target
144751532d8bSGuokai Chen    logbundle.isBr := isBr
144851532d8bSGuokai Chen    logbundle.isJmp := isJmp
144951532d8bSGuokai Chen    logbundle.isCall := isJmp && commit_pd.hasCall
145051532d8bSGuokai Chen    logbundle.isRet := isJmp && commit_pd.hasRet
145151532d8bSGuokai Chen    logbundle.misPred := misPred
145251532d8bSGuokai Chen    logbundle.isTaken := isTaken
145351532d8bSGuokai Chen    logbundle.predStage := commit_stage
145451532d8bSGuokai Chen
145551532d8bSGuokai Chen    ftqBranchTraceDB.log(
145651532d8bSGuokai Chen      data = logbundle /* hardware of type T */,
1457da3bf434SMaxpicca-Li      en = isWriteFTQTable.orR && v && do_commit && isCfi,
145851532d8bSGuokai Chen      site = "FTQ" + p(XSCoreParamsKey).HartId.toString,
145951532d8bSGuokai Chen      clock = clock,
146051532d8bSGuokai Chen      reset = reset
146151532d8bSGuokai Chen    )
146209c6f1ddSLingrui98  }
146309c6f1ddSLingrui98
146409c6f1ddSLingrui98  val enq = io.fromBpu.resp
14652e1be6e1SSteve Gou  val perf_redirect = backendRedirect
146609c6f1ddSLingrui98
146709c6f1ddSLingrui98  XSPerfAccumulate("entry", validEntries)
146809c6f1ddSLingrui98  XSPerfAccumulate("bpu_to_ftq_stall", enq.valid && !enq.ready)
146909c6f1ddSLingrui98  XSPerfAccumulate("mispredictRedirect", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level)
147009c6f1ddSLingrui98  XSPerfAccumulate("replayRedirect", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level))
147109c6f1ddSLingrui98  XSPerfAccumulate("predecodeRedirect", fromIfuRedirect.valid)
147209c6f1ddSLingrui98
147309c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_bubble", io.toIfu.req.ready && !io.toIfu.req.valid)
147409c6f1ddSLingrui98
147509c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_stall", io.toIfu.req.valid && !io.toIfu.req.ready)
147609c6f1ddSLingrui98  XSPerfAccumulate("from_bpu_real_bubble", !enq.valid && enq.ready && allowBpuIn)
147712cedb6fSLingrui98  XSPerfAccumulate("bpu_to_ifu_bubble", bpuPtr === ifuPtr)
1478b2f6ed0aSSteve Gou  XSPerfAccumulate("bpu_to_ifu_bubble_when_ftq_full", (bpuPtr === ifuPtr) && isFull(bpuPtr, commPtr) && io.toIfu.req.ready)
147909c6f1ddSLingrui98
1480bace178aSGao-Zeyu  XSPerfAccumulate("redirectAhead_ValidNum", ftqIdxAhead.map(_.valid).reduce(_|_))
14819342624fSGao-Zeyu  XSPerfAccumulate("fromBackendRedirect_ValidNum", io.fromBackend.redirect.valid)
14829342624fSGao-Zeyu  XSPerfAccumulate("toBpuRedirect_ValidNum", io.toBpu.redirect.valid)
14839342624fSGao-Zeyu
148409c6f1ddSLingrui98  val from_bpu = io.fromBpu.resp.bits
148509c6f1ddSLingrui98  val to_ifu = io.toIfu.req.bits
148609c6f1ddSLingrui98
148709c6f1ddSLingrui98
1488209a4cafSSteve Gou  XSPerfHistogram("commit_num_inst", PopCount(commit_inst_mask), do_commit, 0, PredictWidth+1, 1)
148909c6f1ddSLingrui98
149009c6f1ddSLingrui98
149109c6f1ddSLingrui98
149209c6f1ddSLingrui98
149309c6f1ddSLingrui98  val commit_jal_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJal.asTypeOf(UInt(1.W)))
149409c6f1ddSLingrui98  val commit_jalr_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJalr.asTypeOf(UInt(1.W)))
149509c6f1ddSLingrui98  val commit_call_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasCall.asTypeOf(UInt(1.W)))
149609c6f1ddSLingrui98  val commit_ret_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasRet.asTypeOf(UInt(1.W)))
149709c6f1ddSLingrui98
149809c6f1ddSLingrui98
149909c6f1ddSLingrui98  val mbpBRights = mbpRights & commit_br_mask
150009c6f1ddSLingrui98  val mbpJRights = mbpRights & commit_jal_mask
150109c6f1ddSLingrui98  val mbpIRights = mbpRights & commit_jalr_mask
150209c6f1ddSLingrui98  val mbpCRights = mbpRights & commit_call_mask
150309c6f1ddSLingrui98  val mbpRRights = mbpRights & commit_ret_mask
150409c6f1ddSLingrui98
150509c6f1ddSLingrui98  val mbpBWrongs = mbpWrongs & commit_br_mask
150609c6f1ddSLingrui98  val mbpJWrongs = mbpWrongs & commit_jal_mask
150709c6f1ddSLingrui98  val mbpIWrongs = mbpWrongs & commit_jalr_mask
150809c6f1ddSLingrui98  val mbpCWrongs = mbpWrongs & commit_call_mask
150909c6f1ddSLingrui98  val mbpRWrongs = mbpWrongs & commit_ret_mask
151009c6f1ddSLingrui98
15111d7e5011SLingrui98  val commit_pred_stage = RegNext(pred_stage(commPtr.value))
15121d7e5011SLingrui98
15131d7e5011SLingrui98  def pred_stage_map(src: UInt, name: String) = {
15141d7e5011SLingrui98    (0 until numBpStages).map(i =>
15151d7e5011SLingrui98      f"${name}_stage_${i+1}" -> PopCount(src.asBools.map(_ && commit_pred_stage === BP_STAGES(i)))
15161d7e5011SLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
15171d7e5011SLingrui98  }
15181d7e5011SLingrui98
15191d7e5011SLingrui98  val mispred_stage_map      = pred_stage_map(mbpWrongs,  "mispredict")
15201d7e5011SLingrui98  val br_mispred_stage_map   = pred_stage_map(mbpBWrongs, "br_mispredict")
15211d7e5011SLingrui98  val jalr_mispred_stage_map = pred_stage_map(mbpIWrongs, "jalr_mispredict")
15221d7e5011SLingrui98  val correct_stage_map      = pred_stage_map(mbpRights,  "correct")
15231d7e5011SLingrui98  val br_correct_stage_map   = pred_stage_map(mbpBRights, "br_correct")
15241d7e5011SLingrui98  val jalr_correct_stage_map = pred_stage_map(mbpIRights, "jalr_correct")
15251d7e5011SLingrui98
152609c6f1ddSLingrui98  val update_valid = io.toBpu.update.valid
152709c6f1ddSLingrui98  def u(cond: Bool) = update_valid && cond
152809c6f1ddSLingrui98  val ftb_false_hit = u(update.false_hit)
152965fddcf0Szoujr  // assert(!ftb_false_hit)
153009c6f1ddSLingrui98  val ftb_hit = u(commit_hit === h_hit)
153109c6f1ddSLingrui98
153209c6f1ddSLingrui98  val ftb_new_entry = u(ftbEntryGen.is_init_entry)
1533b37e4b45SLingrui98  val ftb_new_entry_only_br = ftb_new_entry && !update_ftb_entry.jmpValid
1534b37e4b45SLingrui98  val ftb_new_entry_only_jmp = ftb_new_entry && !update_ftb_entry.brValids(0)
1535b37e4b45SLingrui98  val ftb_new_entry_has_br_and_jmp = ftb_new_entry && update_ftb_entry.brValids(0) && update_ftb_entry.jmpValid
153609c6f1ddSLingrui98
153709c6f1ddSLingrui98  val ftb_old_entry = u(ftbEntryGen.is_old_entry)
153809c6f1ddSLingrui98
153909c6f1ddSLingrui98  val ftb_modified_entry = u(ftbEntryGen.is_new_br || ftbEntryGen.is_jalr_target_modified || ftbEntryGen.is_always_taken_modified)
154009c6f1ddSLingrui98  val ftb_modified_entry_new_br = u(ftbEntryGen.is_new_br)
1541d2b20d1aSTang Haojin  val ftb_modified_entry_ifu_redirected = u(ifuRedirected(do_commit_ptr.value))
154209c6f1ddSLingrui98  val ftb_modified_entry_jalr_target_modified = u(ftbEntryGen.is_jalr_target_modified)
154309c6f1ddSLingrui98  val ftb_modified_entry_br_full = ftb_modified_entry && ftbEntryGen.is_br_full
154409c6f1ddSLingrui98  val ftb_modified_entry_always_taken = ftb_modified_entry && ftbEntryGen.is_always_taken_modified
154509c6f1ddSLingrui98
1546209a4cafSSteve Gou  def getFtbEntryLen(pc: UInt, entry: FTBEntry) = (entry.getFallThrough(pc) - pc) >> instOffsetBits
1547209a4cafSSteve Gou  val gen_ftb_entry_len = getFtbEntryLen(update.pc, ftbEntryGen.new_entry)
1548209a4cafSSteve Gou  XSPerfHistogram("ftb_init_entry_len", gen_ftb_entry_len, ftb_new_entry, 0, PredictWidth+1, 1)
1549209a4cafSSteve Gou  XSPerfHistogram("ftb_modified_entry_len", gen_ftb_entry_len, ftb_modified_entry, 0, PredictWidth+1, 1)
1550209a4cafSSteve Gou  val s3_ftb_entry_len = getFtbEntryLen(from_bpu.s3.pc(0), from_bpu.last_stage_ftb_entry)
1551209a4cafSSteve Gou  XSPerfHistogram("s3_ftb_entry_len", s3_ftb_entry_len, from_bpu.s3.valid(0), 0, PredictWidth+1, 1)
155209c6f1ddSLingrui98
1553209a4cafSSteve Gou  XSPerfHistogram("ftq_has_entry", validEntries, true.B, 0, FtqSize+1, 1)
155409c6f1ddSLingrui98
155509c6f1ddSLingrui98  val perfCountsMap = Map(
155609c6f1ddSLingrui98    "BpInstr" -> PopCount(mbpInstrs),
155709c6f1ddSLingrui98    "BpBInstr" -> PopCount(mbpBRights | mbpBWrongs),
155809c6f1ddSLingrui98    "BpRight"  -> PopCount(mbpRights),
155909c6f1ddSLingrui98    "BpWrong"  -> PopCount(mbpWrongs),
156009c6f1ddSLingrui98    "BpBRight" -> PopCount(mbpBRights),
156109c6f1ddSLingrui98    "BpBWrong" -> PopCount(mbpBWrongs),
156209c6f1ddSLingrui98    "BpJRight" -> PopCount(mbpJRights),
156309c6f1ddSLingrui98    "BpJWrong" -> PopCount(mbpJWrongs),
156409c6f1ddSLingrui98    "BpIRight" -> PopCount(mbpIRights),
156509c6f1ddSLingrui98    "BpIWrong" -> PopCount(mbpIWrongs),
156609c6f1ddSLingrui98    "BpCRight" -> PopCount(mbpCRights),
156709c6f1ddSLingrui98    "BpCWrong" -> PopCount(mbpCWrongs),
156809c6f1ddSLingrui98    "BpRRight" -> PopCount(mbpRRights),
156909c6f1ddSLingrui98    "BpRWrong" -> PopCount(mbpRWrongs),
157009c6f1ddSLingrui98
157109c6f1ddSLingrui98    "ftb_false_hit"                -> PopCount(ftb_false_hit),
157209c6f1ddSLingrui98    "ftb_hit"                      -> PopCount(ftb_hit),
157309c6f1ddSLingrui98    "ftb_new_entry"                -> PopCount(ftb_new_entry),
157409c6f1ddSLingrui98    "ftb_new_entry_only_br"        -> PopCount(ftb_new_entry_only_br),
157509c6f1ddSLingrui98    "ftb_new_entry_only_jmp"       -> PopCount(ftb_new_entry_only_jmp),
157609c6f1ddSLingrui98    "ftb_new_entry_has_br_and_jmp" -> PopCount(ftb_new_entry_has_br_and_jmp),
157709c6f1ddSLingrui98    "ftb_old_entry"                -> PopCount(ftb_old_entry),
157809c6f1ddSLingrui98    "ftb_modified_entry"           -> PopCount(ftb_modified_entry),
157909c6f1ddSLingrui98    "ftb_modified_entry_new_br"    -> PopCount(ftb_modified_entry_new_br),
158009c6f1ddSLingrui98    "ftb_jalr_target_modified"     -> PopCount(ftb_modified_entry_jalr_target_modified),
158109c6f1ddSLingrui98    "ftb_modified_entry_br_full"   -> PopCount(ftb_modified_entry_br_full),
158209c6f1ddSLingrui98    "ftb_modified_entry_always_taken" -> PopCount(ftb_modified_entry_always_taken)
1583209a4cafSSteve Gou  ) ++ mispred_stage_map ++ br_mispred_stage_map ++ jalr_mispred_stage_map ++
15841d7e5011SLingrui98       correct_stage_map ++ br_correct_stage_map ++ jalr_correct_stage_map
158509c6f1ddSLingrui98
158609c6f1ddSLingrui98  for((key, value) <- perfCountsMap) {
158709c6f1ddSLingrui98    XSPerfAccumulate(key, value)
158809c6f1ddSLingrui98  }
158909c6f1ddSLingrui98
159009c6f1ddSLingrui98  // --------------------------- Debug --------------------------------
159109c6f1ddSLingrui98  // XSDebug(enq_fire, p"enq! " + io.fromBpu.resp.bits.toPrintable)
159209c6f1ddSLingrui98  XSDebug(io.toIfu.req.fire, p"fire to ifu " + io.toIfu.req.bits.toPrintable)
159309c6f1ddSLingrui98  XSDebug(do_commit, p"deq! [ptr] $do_commit_ptr\n")
159409c6f1ddSLingrui98  XSDebug(true.B, p"[bpuPtr] $bpuPtr, [ifuPtr] $ifuPtr, [ifuWbPtr] $ifuWbPtr [commPtr] $commPtr\n")
159509c6f1ddSLingrui98  XSDebug(true.B, p"[in] v:${io.fromBpu.resp.valid} r:${io.fromBpu.resp.ready} " +
159609c6f1ddSLingrui98    p"[out] v:${io.toIfu.req.valid} r:${io.toIfu.req.ready}\n")
159709c6f1ddSLingrui98  XSDebug(do_commit, p"[deq info] cfiIndex: $commit_cfi, $commit_pc_bundle, target: ${Hexadecimal(commit_target)}\n")
159809c6f1ddSLingrui98
159909c6f1ddSLingrui98  //   def ubtbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
160009c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
160109c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
160209c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
160309c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
160409c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
160509c6f1ddSLingrui98  //           !taken),
160609c6f1ddSLingrui98  //         !taken),
160709c6f1ddSLingrui98  //       false.B)
160809c6f1ddSLingrui98  //     }
160909c6f1ddSLingrui98  //   }
161009c6f1ddSLingrui98
161109c6f1ddSLingrui98  //   def btbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
161209c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
161309c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
161409c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
161509c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
161609c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
161709c6f1ddSLingrui98  //           !taken),
161809c6f1ddSLingrui98  //         !taken),
161909c6f1ddSLingrui98  //       false.B)
162009c6f1ddSLingrui98  //     }
162109c6f1ddSLingrui98  //   }
162209c6f1ddSLingrui98
162309c6f1ddSLingrui98  //   def tageCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
162409c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
162509c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
162609c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
162709c6f1ddSLingrui98  //         isWrong ^ (ans.taken.asBool === taken),
162809c6f1ddSLingrui98  //       false.B)
162909c6f1ddSLingrui98  //     }
163009c6f1ddSLingrui98  //   }
163109c6f1ddSLingrui98
163209c6f1ddSLingrui98  //   def loopCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
163309c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
163409c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
163509c6f1ddSLingrui98  //       Mux(valid && (pd.isBr) && ans.hit.asBool,
163609c6f1ddSLingrui98  //         isWrong ^ (!taken),
163709c6f1ddSLingrui98  //           false.B)
163809c6f1ddSLingrui98  //     }
163909c6f1ddSLingrui98  //   }
164009c6f1ddSLingrui98
164109c6f1ddSLingrui98  //   def rasCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
164209c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
164309c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
164409c6f1ddSLingrui98  //       Mux(valid && pd.isRet.asBool /*&& taken*/ && ans.hit.asBool,
164509c6f1ddSLingrui98  //         isWrong ^ (ans.target === commitEntry.target),
164609c6f1ddSLingrui98  //           false.B)
164709c6f1ddSLingrui98  //     }
164809c6f1ddSLingrui98  //   }
164909c6f1ddSLingrui98
165009c6f1ddSLingrui98  //   val ubtbRights = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), false.B)
165109c6f1ddSLingrui98  //   val ubtbWrongs = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), true.B)
165209c6f1ddSLingrui98  //   // btb and ubtb pred jal and jalr as well
165309c6f1ddSLingrui98  //   val btbRights = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), false.B)
165409c6f1ddSLingrui98  //   val btbWrongs = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), true.B)
165509c6f1ddSLingrui98  //   val tageRights = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), false.B)
165609c6f1ddSLingrui98  //   val tageWrongs = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), true.B)
165709c6f1ddSLingrui98
165809c6f1ddSLingrui98  //   val loopRights = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), false.B)
165909c6f1ddSLingrui98  //   val loopWrongs = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), true.B)
166009c6f1ddSLingrui98
166109c6f1ddSLingrui98  //   val rasRights = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), false.B)
166209c6f1ddSLingrui98  //   val rasWrongs = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), true.B)
16631ca0e4f3SYinan Xu
1664cd365d4cSrvcoresjw  val perfEvents = Seq(
1665cd365d4cSrvcoresjw    ("bpu_s2_redirect        ", bpu_s2_redirect                                                             ),
1666cb4f77ceSLingrui98    ("bpu_s3_redirect        ", bpu_s3_redirect                                                             ),
1667cd365d4cSrvcoresjw    ("bpu_to_ftq_stall       ", enq.valid && ~enq.ready                                                     ),
1668cd365d4cSrvcoresjw    ("mispredictRedirect     ", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level),
1669cd365d4cSrvcoresjw    ("replayRedirect         ", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level)  ),
1670cd365d4cSrvcoresjw    ("predecodeRedirect      ", fromIfuRedirect.valid                                                       ),
1671cd365d4cSrvcoresjw    ("to_ifu_bubble          ", io.toIfu.req.ready && !io.toIfu.req.valid                                   ),
1672cd365d4cSrvcoresjw    ("from_bpu_real_bubble   ", !enq.valid && enq.ready && allowBpuIn                                       ),
1673cd365d4cSrvcoresjw    ("BpInstr                ", PopCount(mbpInstrs)                                                         ),
1674cd365d4cSrvcoresjw    ("BpBInstr               ", PopCount(mbpBRights | mbpBWrongs)                                           ),
1675cd365d4cSrvcoresjw    ("BpRight                ", PopCount(mbpRights)                                                         ),
1676cd365d4cSrvcoresjw    ("BpWrong                ", PopCount(mbpWrongs)                                                         ),
1677cd365d4cSrvcoresjw    ("BpBRight               ", PopCount(mbpBRights)                                                        ),
1678cd365d4cSrvcoresjw    ("BpBWrong               ", PopCount(mbpBWrongs)                                                        ),
1679cd365d4cSrvcoresjw    ("BpJRight               ", PopCount(mbpJRights)                                                        ),
1680cd365d4cSrvcoresjw    ("BpJWrong               ", PopCount(mbpJWrongs)                                                        ),
1681cd365d4cSrvcoresjw    ("BpIRight               ", PopCount(mbpIRights)                                                        ),
1682cd365d4cSrvcoresjw    ("BpIWrong               ", PopCount(mbpIWrongs)                                                        ),
1683cd365d4cSrvcoresjw    ("BpCRight               ", PopCount(mbpCRights)                                                        ),
1684cd365d4cSrvcoresjw    ("BpCWrong               ", PopCount(mbpCWrongs)                                                        ),
1685cd365d4cSrvcoresjw    ("BpRRight               ", PopCount(mbpRRights)                                                        ),
1686cd365d4cSrvcoresjw    ("BpRWrong               ", PopCount(mbpRWrongs)                                                        ),
1687cd365d4cSrvcoresjw    ("ftb_false_hit          ", PopCount(ftb_false_hit)                                                     ),
1688cd365d4cSrvcoresjw    ("ftb_hit                ", PopCount(ftb_hit)                                                           ),
1689cd365d4cSrvcoresjw  )
16901ca0e4f3SYinan Xu  generatePerfEvent()
169109c6f1ddSLingrui98}
1692