xref: /XiangShan/src/main/scala/xiangshan/frontend/NewFtq.scala (revision 02f21c16661531bce48b8f20fa0061405e2848f6)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
1509c6f1ddSLingrui98***************************************************************************************/
1609c6f1ddSLingrui98
1709c6f1ddSLingrui98package xiangshan.frontend
1809c6f1ddSLingrui98
1909c6f1ddSLingrui98import chipsalliance.rocketchip.config.Parameters
2009c6f1ddSLingrui98import chisel3._
2109c6f1ddSLingrui98import chisel3.util._
221ca0e4f3SYinan Xuimport utils._
2309c6f1ddSLingrui98import xiangshan._
24e30430c2SJayimport xiangshan.frontend.icache._
251ca0e4f3SYinan Xuimport xiangshan.backend.CtrlToFtqIO
262e1be6e1SSteve Gouimport xiangshan.backend.decode.ImmUnion
2709c6f1ddSLingrui98
2809c6f1ddSLingrui98class FtqPtr(implicit p: Parameters) extends CircularQueuePtr[FtqPtr](
2909c6f1ddSLingrui98  p => p(XSCoreParamsKey).FtqSize
3009c6f1ddSLingrui98){
3109c6f1ddSLingrui98}
3209c6f1ddSLingrui98
3309c6f1ddSLingrui98object FtqPtr {
3409c6f1ddSLingrui98  def apply(f: Bool, v: UInt)(implicit p: Parameters): FtqPtr = {
3509c6f1ddSLingrui98    val ptr = Wire(new FtqPtr)
3609c6f1ddSLingrui98    ptr.flag := f
3709c6f1ddSLingrui98    ptr.value := v
3809c6f1ddSLingrui98    ptr
3909c6f1ddSLingrui98  }
4009c6f1ddSLingrui98  def inverse(ptr: FtqPtr)(implicit p: Parameters): FtqPtr = {
4109c6f1ddSLingrui98    apply(!ptr.flag, ptr.value)
4209c6f1ddSLingrui98  }
4309c6f1ddSLingrui98}
4409c6f1ddSLingrui98
4509c6f1ddSLingrui98class FtqNRSRAM[T <: Data](gen: T, numRead: Int)(implicit p: Parameters) extends XSModule {
4609c6f1ddSLingrui98
4709c6f1ddSLingrui98  val io = IO(new Bundle() {
4809c6f1ddSLingrui98    val raddr = Input(Vec(numRead, UInt(log2Up(FtqSize).W)))
4909c6f1ddSLingrui98    val ren = Input(Vec(numRead, Bool()))
5009c6f1ddSLingrui98    val rdata = Output(Vec(numRead, gen))
5109c6f1ddSLingrui98    val waddr = Input(UInt(log2Up(FtqSize).W))
5209c6f1ddSLingrui98    val wen = Input(Bool())
5309c6f1ddSLingrui98    val wdata = Input(gen)
5409c6f1ddSLingrui98  })
5509c6f1ddSLingrui98
5609c6f1ddSLingrui98  for(i <- 0 until numRead){
5709c6f1ddSLingrui98    val sram = Module(new SRAMTemplate(gen, FtqSize))
5809c6f1ddSLingrui98    sram.io.r.req.valid := io.ren(i)
5909c6f1ddSLingrui98    sram.io.r.req.bits.setIdx := io.raddr(i)
6009c6f1ddSLingrui98    io.rdata(i) := sram.io.r.resp.data(0)
6109c6f1ddSLingrui98    sram.io.w.req.valid := io.wen
6209c6f1ddSLingrui98    sram.io.w.req.bits.setIdx := io.waddr
6309c6f1ddSLingrui98    sram.io.w.req.bits.data := VecInit(io.wdata)
6409c6f1ddSLingrui98  }
6509c6f1ddSLingrui98
6609c6f1ddSLingrui98}
6709c6f1ddSLingrui98
6809c6f1ddSLingrui98class Ftq_RF_Components(implicit p: Parameters) extends XSBundle with BPUUtils {
6909c6f1ddSLingrui98  val startAddr = UInt(VAddrBits.W)
70b37e4b45SLingrui98  val nextLineAddr = UInt(VAddrBits.W)
7109c6f1ddSLingrui98  val isNextMask = Vec(PredictWidth, Bool())
72b37e4b45SLingrui98  val fallThruError = Bool()
73b37e4b45SLingrui98  // val carry = Bool()
7409c6f1ddSLingrui98  def getPc(offset: UInt) = {
7585215037SLingrui98    def getHigher(pc: UInt) = pc(VAddrBits-1, log2Ceil(PredictWidth)+instOffsetBits+1)
7685215037SLingrui98    def getOffset(pc: UInt) = pc(log2Ceil(PredictWidth)+instOffsetBits, instOffsetBits)
77b37e4b45SLingrui98    Cat(getHigher(Mux(isNextMask(offset) && startAddr(log2Ceil(PredictWidth)+instOffsetBits), nextLineAddr, startAddr)),
7809c6f1ddSLingrui98        getOffset(startAddr)+offset, 0.U(instOffsetBits.W))
7909c6f1ddSLingrui98  }
8009c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
81a229ab6cSLingrui98    def carryPos(addr: UInt) = addr(instOffsetBits+log2Ceil(PredictWidth)+1)
8209c6f1ddSLingrui98    this.startAddr := resp.pc
83a60a2901SLingrui98    this.nextLineAddr := resp.pc + (FetchWidth * 4 * 2).U // may be broken on other configs
8409c6f1ddSLingrui98    this.isNextMask := VecInit((0 until PredictWidth).map(i =>
8509c6f1ddSLingrui98      (resp.pc(log2Ceil(PredictWidth), 1) +& i.U)(log2Ceil(PredictWidth)).asBool()
8609c6f1ddSLingrui98    ))
87b37e4b45SLingrui98    this.fallThruError := resp.fallThruError
8809c6f1ddSLingrui98    this
8909c6f1ddSLingrui98  }
9009c6f1ddSLingrui98  override def toPrintable: Printable = {
91b37e4b45SLingrui98    p"startAddr:${Hexadecimal(startAddr)}"
9209c6f1ddSLingrui98  }
9309c6f1ddSLingrui98}
9409c6f1ddSLingrui98
9509c6f1ddSLingrui98class Ftq_pd_Entry(implicit p: Parameters) extends XSBundle {
9609c6f1ddSLingrui98  val brMask = Vec(PredictWidth, Bool())
9709c6f1ddSLingrui98  val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
9809c6f1ddSLingrui98  val jmpOffset = UInt(log2Ceil(PredictWidth).W)
9909c6f1ddSLingrui98  val jalTarget = UInt(VAddrBits.W)
10009c6f1ddSLingrui98  val rvcMask = Vec(PredictWidth, Bool())
10109c6f1ddSLingrui98  def hasJal  = jmpInfo.valid && !jmpInfo.bits(0)
10209c6f1ddSLingrui98  def hasJalr = jmpInfo.valid && jmpInfo.bits(0)
10309c6f1ddSLingrui98  def hasCall = jmpInfo.valid && jmpInfo.bits(1)
10409c6f1ddSLingrui98  def hasRet  = jmpInfo.valid && jmpInfo.bits(2)
10509c6f1ddSLingrui98
10609c6f1ddSLingrui98  def fromPdWb(pdWb: PredecodeWritebackBundle) = {
10709c6f1ddSLingrui98    val pds = pdWb.pd
10809c6f1ddSLingrui98    this.brMask := VecInit(pds.map(pd => pd.isBr && pd.valid))
10909c6f1ddSLingrui98    this.jmpInfo.valid := VecInit(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid)).asUInt.orR
11009c6f1ddSLingrui98    this.jmpInfo.bits := ParallelPriorityMux(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid),
11109c6f1ddSLingrui98                                             pds.map(pd => VecInit(pd.isJalr, pd.isCall, pd.isRet)))
11209c6f1ddSLingrui98    this.jmpOffset := ParallelPriorityEncoder(pds.map(pd => (pd.isJal || pd.isJalr) && pd.valid))
11309c6f1ddSLingrui98    this.rvcMask := VecInit(pds.map(pd => pd.isRVC))
11409c6f1ddSLingrui98    this.jalTarget := pdWb.jalTarget
11509c6f1ddSLingrui98  }
11609c6f1ddSLingrui98
11709c6f1ddSLingrui98  def toPd(offset: UInt) = {
11809c6f1ddSLingrui98    require(offset.getWidth == log2Ceil(PredictWidth))
11909c6f1ddSLingrui98    val pd = Wire(new PreDecodeInfo)
12009c6f1ddSLingrui98    pd.valid := true.B
12109c6f1ddSLingrui98    pd.isRVC := rvcMask(offset)
12209c6f1ddSLingrui98    val isBr = brMask(offset)
12309c6f1ddSLingrui98    val isJalr = offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(0)
12409c6f1ddSLingrui98    pd.brType := Cat(offset === jmpOffset && jmpInfo.valid, isJalr || isBr)
12509c6f1ddSLingrui98    pd.isCall := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(1)
12609c6f1ddSLingrui98    pd.isRet  := offset === jmpOffset && jmpInfo.valid && jmpInfo.bits(2)
12709c6f1ddSLingrui98    pd
12809c6f1ddSLingrui98  }
12909c6f1ddSLingrui98}
13009c6f1ddSLingrui98
13109c6f1ddSLingrui98
13209c6f1ddSLingrui98
13309c6f1ddSLingrui98class Ftq_Redirect_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
13409c6f1ddSLingrui98  val rasSp = UInt(log2Ceil(RasSize).W)
13509c6f1ddSLingrui98  val rasEntry = new RASEntry
136b37e4b45SLingrui98  // val specCnt = Vec(numBr, UInt(10.W))
137c2ad24ebSLingrui98  // val ghist = new ShiftingGlobalHistory
138dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
13967402d75SLingrui98  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
14067402d75SLingrui98  val lastBrNumOH = UInt((numBr+1).W)
14167402d75SLingrui98
142c2ad24ebSLingrui98  val histPtr = new CGHPtr
14309c6f1ddSLingrui98
14409c6f1ddSLingrui98  def fromBranchPrediction(resp: BranchPredictionBundle) = {
145b37e4b45SLingrui98    assert(!resp.is_minimal)
14609c6f1ddSLingrui98    this.rasSp := resp.rasSp
14709c6f1ddSLingrui98    this.rasEntry := resp.rasTop
148dd6c0695SLingrui98    this.folded_hist := resp.folded_hist
14967402d75SLingrui98    this.afhob := resp.afhob
15067402d75SLingrui98    this.lastBrNumOH := resp.lastBrNumOH
151c2ad24ebSLingrui98    this.histPtr := resp.histPtr
15209c6f1ddSLingrui98    this
15309c6f1ddSLingrui98  }
15409c6f1ddSLingrui98}
15509c6f1ddSLingrui98
15609c6f1ddSLingrui98class Ftq_1R_SRAMEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
15709c6f1ddSLingrui98  val meta = UInt(MaxMetaLength.W)
15809c6f1ddSLingrui98}
15909c6f1ddSLingrui98
16009c6f1ddSLingrui98class Ftq_Pred_Info(implicit p: Parameters) extends XSBundle {
16109c6f1ddSLingrui98  val target = UInt(VAddrBits.W)
16209c6f1ddSLingrui98  val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
16309c6f1ddSLingrui98}
16409c6f1ddSLingrui98
165c2ad24ebSLingrui98// class FtqEntry(implicit p: Parameters) extends XSBundle with HasBPUConst {
166c2ad24ebSLingrui98//   val startAddr = UInt(VAddrBits.W)
167c2ad24ebSLingrui98//   val fallThruAddr = UInt(VAddrBits.W)
168c2ad24ebSLingrui98//   val isNextMask = Vec(PredictWidth, Bool())
16909c6f1ddSLingrui98
170c2ad24ebSLingrui98//   val meta = UInt(MaxMetaLength.W)
17109c6f1ddSLingrui98
172c2ad24ebSLingrui98//   val rasSp = UInt(log2Ceil(RasSize).W)
173c2ad24ebSLingrui98//   val rasEntry = new RASEntry
174c2ad24ebSLingrui98//   val hist = new ShiftingGlobalHistory
175c2ad24ebSLingrui98//   val specCnt = Vec(numBr, UInt(10.W))
17609c6f1ddSLingrui98
177c2ad24ebSLingrui98//   val valids = Vec(PredictWidth, Bool())
178c2ad24ebSLingrui98//   val brMask = Vec(PredictWidth, Bool())
179c2ad24ebSLingrui98//   // isJalr, isCall, isRet
180c2ad24ebSLingrui98//   val jmpInfo = ValidUndirectioned(Vec(3, Bool()))
181c2ad24ebSLingrui98//   val jmpOffset = UInt(log2Ceil(PredictWidth).W)
18209c6f1ddSLingrui98
183c2ad24ebSLingrui98//   val mispredVec = Vec(PredictWidth, Bool())
184c2ad24ebSLingrui98//   val cfiIndex = ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))
185c2ad24ebSLingrui98//   val target = UInt(VAddrBits.W)
186c2ad24ebSLingrui98// }
18709c6f1ddSLingrui98
18809c6f1ddSLingrui98class FtqRead[T <: Data](private val gen: T)(implicit p: Parameters) extends XSBundle {
18909c6f1ddSLingrui98  val ptr = Output(new FtqPtr)
19009c6f1ddSLingrui98  val offset = Output(UInt(log2Ceil(PredictWidth).W))
19109c6f1ddSLingrui98  val data = Input(gen)
19209c6f1ddSLingrui98  def apply(ptr: FtqPtr, offset: UInt) = {
19309c6f1ddSLingrui98    this.ptr := ptr
19409c6f1ddSLingrui98    this.offset := offset
19509c6f1ddSLingrui98    this.data
19609c6f1ddSLingrui98  }
19709c6f1ddSLingrui98}
19809c6f1ddSLingrui98
19909c6f1ddSLingrui98
20009c6f1ddSLingrui98class FtqToBpuIO(implicit p: Parameters) extends XSBundle {
20109c6f1ddSLingrui98  val redirect = Valid(new BranchPredictionRedirect)
20209c6f1ddSLingrui98  val update = Valid(new BranchPredictionUpdate)
20309c6f1ddSLingrui98  val enq_ptr = Output(new FtqPtr)
20409c6f1ddSLingrui98}
20509c6f1ddSLingrui98
20609c6f1ddSLingrui98class FtqToIfuIO(implicit p: Parameters) extends XSBundle with HasCircularQueuePtrHelper {
20709c6f1ddSLingrui98  val req = Decoupled(new FetchRequestBundle)
20809c6f1ddSLingrui98  val redirect = Valid(new Redirect)
20909c6f1ddSLingrui98  val flushFromBpu = new Bundle {
21009c6f1ddSLingrui98    // when ifu pipeline is not stalled,
21109c6f1ddSLingrui98    // a packet from bpu s3 can reach f1 at most
21209c6f1ddSLingrui98    val s2 = Valid(new FtqPtr)
213cb4f77ceSLingrui98    val s3 = Valid(new FtqPtr)
21409c6f1ddSLingrui98    def shouldFlushBy(src: Valid[FtqPtr], idx_to_flush: FtqPtr) = {
21509c6f1ddSLingrui98      src.valid && !isAfter(src.bits, idx_to_flush)
21609c6f1ddSLingrui98    }
21709c6f1ddSLingrui98    def shouldFlushByStage2(idx: FtqPtr) = shouldFlushBy(s2, idx)
218cb4f77ceSLingrui98    def shouldFlushByStage3(idx: FtqPtr) = shouldFlushBy(s3, idx)
21909c6f1ddSLingrui98  }
22009c6f1ddSLingrui98}
22109c6f1ddSLingrui98
22209c6f1ddSLingrui98trait HasBackendRedirectInfo extends HasXSParameter {
2232e1be6e1SSteve Gou  def numRedirectPcRead = exuParameters.JmpCnt + exuParameters.AluCnt + 1
22409c6f1ddSLingrui98  def isLoadReplay(r: Valid[Redirect]) = r.bits.flushItself()
22509c6f1ddSLingrui98}
22609c6f1ddSLingrui98
22709c6f1ddSLingrui98class FtqToCtrlIO(implicit p: Parameters) extends XSBundle with HasBackendRedirectInfo {
2282e1be6e1SSteve Gou  val pc_reads = Vec(1 + numRedirectPcRead + 1 + 1, Flipped(new FtqRead(UInt(VAddrBits.W))))
22909c6f1ddSLingrui98  val target_read = Flipped(new FtqRead(UInt(VAddrBits.W)))
2302e1be6e1SSteve Gou  val redirect_s1_real_pc = Output(UInt(VAddrBits.W))
23109c6f1ddSLingrui98  def getJumpPcRead = pc_reads.head
23209c6f1ddSLingrui98  def getRedirectPcRead = VecInit(pc_reads.tail.dropRight(2))
2332e1be6e1SSteve Gou  def getRedirectPcReadData = pc_reads.tail.dropRight(2).map(_.data)
23409c6f1ddSLingrui98  def getMemPredPcRead = pc_reads.init.last
2359aca92b9SYinan Xu  def getRobFlushPcRead = pc_reads.last
23609c6f1ddSLingrui98}
23709c6f1ddSLingrui98
23809c6f1ddSLingrui98
23909c6f1ddSLingrui98class FTBEntryGen(implicit p: Parameters) extends XSModule with HasBackendRedirectInfo with HasBPUParameter {
24009c6f1ddSLingrui98  val io = IO(new Bundle {
24109c6f1ddSLingrui98    val start_addr = Input(UInt(VAddrBits.W))
24209c6f1ddSLingrui98    val old_entry = Input(new FTBEntry)
24309c6f1ddSLingrui98    val pd = Input(new Ftq_pd_Entry)
24409c6f1ddSLingrui98    val cfiIndex = Flipped(Valid(UInt(log2Ceil(PredictWidth).W)))
24509c6f1ddSLingrui98    val target = Input(UInt(VAddrBits.W))
24609c6f1ddSLingrui98    val hit = Input(Bool())
24709c6f1ddSLingrui98    val mispredict_vec = Input(Vec(PredictWidth, Bool()))
24809c6f1ddSLingrui98
24909c6f1ddSLingrui98    val new_entry = Output(new FTBEntry)
25009c6f1ddSLingrui98    val new_br_insert_pos = Output(Vec(numBr, Bool()))
25109c6f1ddSLingrui98    val taken_mask = Output(Vec(numBr, Bool()))
25209c6f1ddSLingrui98    val mispred_mask = Output(Vec(numBr+1, Bool()))
25309c6f1ddSLingrui98
25409c6f1ddSLingrui98    // for perf counters
25509c6f1ddSLingrui98    val is_init_entry = Output(Bool())
25609c6f1ddSLingrui98    val is_old_entry = Output(Bool())
25709c6f1ddSLingrui98    val is_new_br = Output(Bool())
25809c6f1ddSLingrui98    val is_jalr_target_modified = Output(Bool())
25909c6f1ddSLingrui98    val is_always_taken_modified = Output(Bool())
26009c6f1ddSLingrui98    val is_br_full = Output(Bool())
26109c6f1ddSLingrui98  })
26209c6f1ddSLingrui98
26309c6f1ddSLingrui98  // no mispredictions detected at predecode
26409c6f1ddSLingrui98  val hit = io.hit
26509c6f1ddSLingrui98  val pd = io.pd
26609c6f1ddSLingrui98
26709c6f1ddSLingrui98  val init_entry = WireInit(0.U.asTypeOf(new FTBEntry))
26809c6f1ddSLingrui98
26909c6f1ddSLingrui98
27009c6f1ddSLingrui98  val cfi_is_br = pd.brMask(io.cfiIndex.bits) && io.cfiIndex.valid
27109c6f1ddSLingrui98  val entry_has_jmp = pd.jmpInfo.valid
27209c6f1ddSLingrui98  val new_jmp_is_jal  = entry_has_jmp && !pd.jmpInfo.bits(0) && io.cfiIndex.valid
27309c6f1ddSLingrui98  val new_jmp_is_jalr = entry_has_jmp &&  pd.jmpInfo.bits(0) && io.cfiIndex.valid
27409c6f1ddSLingrui98  val new_jmp_is_call = entry_has_jmp &&  pd.jmpInfo.bits(1) && io.cfiIndex.valid
27509c6f1ddSLingrui98  val new_jmp_is_ret  = entry_has_jmp &&  pd.jmpInfo.bits(2) && io.cfiIndex.valid
27609c6f1ddSLingrui98  val last_jmp_rvi = entry_has_jmp && pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask.last
277a60a2901SLingrui98  // val last_br_rvi = cfi_is_br && io.cfiIndex.bits === (PredictWidth-1).U && !pd.rvcMask.last
27809c6f1ddSLingrui98
27909c6f1ddSLingrui98  val cfi_is_jal = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jal
28009c6f1ddSLingrui98  val cfi_is_jalr = io.cfiIndex.bits === pd.jmpOffset && new_jmp_is_jalr
28109c6f1ddSLingrui98
282a60a2901SLingrui98  def carryPos = log2Ceil(PredictWidth)+instOffsetBits
28309c6f1ddSLingrui98  def getLower(pc: UInt) = pc(carryPos-1, instOffsetBits)
28409c6f1ddSLingrui98  // if not hit, establish a new entry
28509c6f1ddSLingrui98  init_entry.valid := true.B
28609c6f1ddSLingrui98  // tag is left for ftb to assign
287eeb5ff92SLingrui98
288eeb5ff92SLingrui98  // case br
289eeb5ff92SLingrui98  val init_br_slot = init_entry.getSlotForBr(0)
290eeb5ff92SLingrui98  when (cfi_is_br) {
291eeb5ff92SLingrui98    init_br_slot.valid := true.B
292eeb5ff92SLingrui98    init_br_slot.offset := io.cfiIndex.bits
293b37e4b45SLingrui98    init_br_slot.setLowerStatByTarget(io.start_addr, io.target, numBr == 1)
294eeb5ff92SLingrui98    init_entry.always_taken(0) := true.B // set to always taken on init
295eeb5ff92SLingrui98  }
296eeb5ff92SLingrui98
297eeb5ff92SLingrui98  // case jmp
298eeb5ff92SLingrui98  when (entry_has_jmp) {
299eeb5ff92SLingrui98    init_entry.tailSlot.offset := pd.jmpOffset
300eeb5ff92SLingrui98    init_entry.tailSlot.valid := new_jmp_is_jal || new_jmp_is_jalr
301eeb5ff92SLingrui98    init_entry.tailSlot.setLowerStatByTarget(io.start_addr, Mux(cfi_is_jalr, io.target, pd.jalTarget), isShare=false)
302eeb5ff92SLingrui98  }
303eeb5ff92SLingrui98
30409c6f1ddSLingrui98  val jmpPft = getLower(io.start_addr) +& pd.jmpOffset +& Mux(pd.rvcMask(pd.jmpOffset), 1.U, 2.U)
305a60a2901SLingrui98  init_entry.pftAddr := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft, getLower(io.start_addr))
306a60a2901SLingrui98  init_entry.carry   := Mux(entry_has_jmp && !last_jmp_rvi, jmpPft(carryPos-instOffsetBits), true.B)
30709c6f1ddSLingrui98  init_entry.isJalr := new_jmp_is_jalr
30809c6f1ddSLingrui98  init_entry.isCall := new_jmp_is_call
30909c6f1ddSLingrui98  init_entry.isRet  := new_jmp_is_ret
310f4ebc4b2SLingrui98  // that means fall thru points to the middle of an inst
311ae409b75SSteve Gou  init_entry.last_may_be_rvi_call := pd.jmpOffset === (PredictWidth-1).U && !pd.rvcMask(pd.jmpOffset)
31209c6f1ddSLingrui98
31309c6f1ddSLingrui98  // if hit, check whether a new cfi(only br is possible) is detected
31409c6f1ddSLingrui98  val oe = io.old_entry
315eeb5ff92SLingrui98  val br_recorded_vec = oe.getBrRecordedVec(io.cfiIndex.bits)
31609c6f1ddSLingrui98  val br_recorded = br_recorded_vec.asUInt.orR
31709c6f1ddSLingrui98  val is_new_br = cfi_is_br && !br_recorded
31809c6f1ddSLingrui98  val new_br_offset = io.cfiIndex.bits
31909c6f1ddSLingrui98  // vec(i) means new br will be inserted BEFORE old br(i)
320eeb5ff92SLingrui98  val allBrSlotsVec = oe.allSlotsForBr
32109c6f1ddSLingrui98  val new_br_insert_onehot = VecInit((0 until numBr).map{
32209c6f1ddSLingrui98    i => i match {
323eeb5ff92SLingrui98      case 0 =>
324eeb5ff92SLingrui98        !allBrSlotsVec(0).valid || new_br_offset < allBrSlotsVec(0).offset
325eeb5ff92SLingrui98      case idx =>
326eeb5ff92SLingrui98        allBrSlotsVec(idx-1).valid && new_br_offset > allBrSlotsVec(idx-1).offset &&
327eeb5ff92SLingrui98        (!allBrSlotsVec(idx).valid || new_br_offset < allBrSlotsVec(idx).offset)
32809c6f1ddSLingrui98    }
32909c6f1ddSLingrui98  })
33009c6f1ddSLingrui98
33109c6f1ddSLingrui98  val old_entry_modified = WireInit(io.old_entry)
33209c6f1ddSLingrui98  for (i <- 0 until numBr) {
333eeb5ff92SLingrui98    val slot = old_entry_modified.allSlotsForBr(i)
334eeb5ff92SLingrui98    when (new_br_insert_onehot(i)) {
335eeb5ff92SLingrui98      slot.valid := true.B
336eeb5ff92SLingrui98      slot.offset := new_br_offset
337b37e4b45SLingrui98      slot.setLowerStatByTarget(io.start_addr, io.target, i == numBr-1)
338eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := true.B
339eeb5ff92SLingrui98    }.elsewhen (new_br_offset > oe.allSlotsForBr(i).offset) {
340eeb5ff92SLingrui98      old_entry_modified.always_taken(i) := false.B
341eeb5ff92SLingrui98      // all other fields remain unchanged
342eeb5ff92SLingrui98    }.otherwise {
343eeb5ff92SLingrui98      // case i == 0, remain unchanged
344eeb5ff92SLingrui98      if (i != 0) {
345b37e4b45SLingrui98        val noNeedToMoveFromFormerSlot = (i == numBr-1).B && !oe.brSlots.last.valid
346eeb5ff92SLingrui98        when (!noNeedToMoveFromFormerSlot) {
347eeb5ff92SLingrui98          slot.fromAnotherSlot(oe.allSlotsForBr(i-1))
348eeb5ff92SLingrui98          old_entry_modified.always_taken(i) := oe.always_taken(i)
34909c6f1ddSLingrui98        }
350eeb5ff92SLingrui98      }
351eeb5ff92SLingrui98    }
352eeb5ff92SLingrui98  }
35309c6f1ddSLingrui98
354eeb5ff92SLingrui98  // two circumstances:
355eeb5ff92SLingrui98  // 1. oe: | br | j  |, new br should be in front of j, thus addr of j should be new pft
356eeb5ff92SLingrui98  // 2. oe: | br | br |, new br could be anywhere between, thus new pft is the addr of either
357eeb5ff92SLingrui98  //        the previous last br or the new br
358eeb5ff92SLingrui98  val may_have_to_replace = oe.noEmptySlotForNewBr
359eeb5ff92SLingrui98  val pft_need_to_change = is_new_br && may_have_to_replace
36009c6f1ddSLingrui98  // it should either be the given last br or the new br
36109c6f1ddSLingrui98  when (pft_need_to_change) {
362eeb5ff92SLingrui98    val new_pft_offset =
363710a8720SLingrui98      Mux(!new_br_insert_onehot.asUInt.orR,
364710a8720SLingrui98        new_br_offset, oe.allSlotsForBr.last.offset)
365eeb5ff92SLingrui98
366710a8720SLingrui98    // set jmp to invalid
36709c6f1ddSLingrui98    old_entry_modified.pftAddr := getLower(io.start_addr) + new_pft_offset
36809c6f1ddSLingrui98    old_entry_modified.carry := (getLower(io.start_addr) +& new_pft_offset).head(1).asBool
369f4ebc4b2SLingrui98    old_entry_modified.last_may_be_rvi_call := false.B
37009c6f1ddSLingrui98    old_entry_modified.isCall := false.B
37109c6f1ddSLingrui98    old_entry_modified.isRet := false.B
372eeb5ff92SLingrui98    old_entry_modified.isJalr := false.B
37309c6f1ddSLingrui98  }
37409c6f1ddSLingrui98
37509c6f1ddSLingrui98  val old_entry_jmp_target_modified = WireInit(oe)
376710a8720SLingrui98  val old_target = oe.tailSlot.getTarget(io.start_addr) // may be wrong because we store only 20 lowest bits
377b37e4b45SLingrui98  val old_tail_is_jmp = !oe.tailSlot.sharing
378eeb5ff92SLingrui98  val jalr_target_modified = cfi_is_jalr && (old_target =/= io.target) && old_tail_is_jmp // TODO: pass full jalr target
3793bcae573SLingrui98  when (jalr_target_modified) {
38009c6f1ddSLingrui98    old_entry_jmp_target_modified.setByJmpTarget(io.start_addr, io.target)
38109c6f1ddSLingrui98    old_entry_jmp_target_modified.always_taken := 0.U.asTypeOf(Vec(numBr, Bool()))
38209c6f1ddSLingrui98  }
38309c6f1ddSLingrui98
38409c6f1ddSLingrui98  val old_entry_always_taken = WireInit(oe)
38509c6f1ddSLingrui98  val always_taken_modified_vec = Wire(Vec(numBr, Bool())) // whether modified or not
38609c6f1ddSLingrui98  for (i <- 0 until numBr) {
38709c6f1ddSLingrui98    old_entry_always_taken.always_taken(i) :=
38809c6f1ddSLingrui98      oe.always_taken(i) && io.cfiIndex.valid && oe.brValids(i) && io.cfiIndex.bits === oe.brOffset(i)
389710a8720SLingrui98    always_taken_modified_vec(i) := oe.always_taken(i) && !old_entry_always_taken.always_taken(i)
39009c6f1ddSLingrui98  }
39109c6f1ddSLingrui98  val always_taken_modified = always_taken_modified_vec.reduce(_||_)
39209c6f1ddSLingrui98
39309c6f1ddSLingrui98
39409c6f1ddSLingrui98
39509c6f1ddSLingrui98  val derived_from_old_entry =
39609c6f1ddSLingrui98    Mux(is_new_br, old_entry_modified,
3973bcae573SLingrui98      Mux(jalr_target_modified, old_entry_jmp_target_modified, old_entry_always_taken))
39809c6f1ddSLingrui98
39909c6f1ddSLingrui98
40009c6f1ddSLingrui98  io.new_entry := Mux(!hit, init_entry, derived_from_old_entry)
40109c6f1ddSLingrui98
40209c6f1ddSLingrui98  io.new_br_insert_pos := new_br_insert_onehot
40309c6f1ddSLingrui98  io.taken_mask := VecInit((io.new_entry.brOffset zip io.new_entry.brValids).map{
40409c6f1ddSLingrui98    case (off, v) => io.cfiIndex.bits === off && io.cfiIndex.valid && v
40509c6f1ddSLingrui98  })
40609c6f1ddSLingrui98  for (i <- 0 until numBr) {
40709c6f1ddSLingrui98    io.mispred_mask(i) := io.new_entry.brValids(i) && io.mispredict_vec(io.new_entry.brOffset(i))
40809c6f1ddSLingrui98  }
40909c6f1ddSLingrui98  io.mispred_mask.last := io.new_entry.jmpValid && io.mispredict_vec(pd.jmpOffset)
41009c6f1ddSLingrui98
41109c6f1ddSLingrui98  // for perf counters
41209c6f1ddSLingrui98  io.is_init_entry := !hit
4133bcae573SLingrui98  io.is_old_entry := hit && !is_new_br && !jalr_target_modified && !always_taken_modified
41409c6f1ddSLingrui98  io.is_new_br := hit && is_new_br
4153bcae573SLingrui98  io.is_jalr_target_modified := hit && jalr_target_modified
41609c6f1ddSLingrui98  io.is_always_taken_modified := hit && always_taken_modified
417eeb5ff92SLingrui98  io.is_br_full := hit && is_new_br && may_have_to_replace
41809c6f1ddSLingrui98}
41909c6f1ddSLingrui98
42009c6f1ddSLingrui98class Ftq(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper
421e30430c2SJay  with HasBackendRedirectInfo with BPUUtils with HasBPUConst with HasPerfEvents
422e30430c2SJay  with HasICacheParameters{
42309c6f1ddSLingrui98  val io = IO(new Bundle {
42409c6f1ddSLingrui98    val fromBpu = Flipped(new BpuToFtqIO)
42509c6f1ddSLingrui98    val fromIfu = Flipped(new IfuToFtqIO)
42609c6f1ddSLingrui98    val fromBackend = Flipped(new CtrlToFtqIO)
42709c6f1ddSLingrui98
42809c6f1ddSLingrui98    val toBpu = new FtqToBpuIO
42909c6f1ddSLingrui98    val toIfu = new FtqToIfuIO
43009c6f1ddSLingrui98    val toBackend = new FtqToCtrlIO
43109c6f1ddSLingrui98
4327052722fSJay    val toPrefetch = new FtqPrefechBundle
4337052722fSJay
43409c6f1ddSLingrui98    val bpuInfo = new Bundle {
43509c6f1ddSLingrui98      val bpRight = Output(UInt(XLEN.W))
43609c6f1ddSLingrui98      val bpWrong = Output(UInt(XLEN.W))
43709c6f1ddSLingrui98    }
43809c6f1ddSLingrui98  })
43909c6f1ddSLingrui98  io.bpuInfo := DontCare
44009c6f1ddSLingrui98
4412e1be6e1SSteve Gou  val backendRedirect = Wire(Valid(new Redirect))
4422e1be6e1SSteve Gou  val backendRedirectReg = RegNext(backendRedirect)
44309c6f1ddSLingrui98
444df5b4b8eSYinan Xu  val stage2Flush = backendRedirect.valid
44509c6f1ddSLingrui98  val backendFlush = stage2Flush || RegNext(stage2Flush)
44609c6f1ddSLingrui98  val ifuFlush = Wire(Bool())
44709c6f1ddSLingrui98
44809c6f1ddSLingrui98  val flush = stage2Flush || RegNext(stage2Flush)
44909c6f1ddSLingrui98
45009c6f1ddSLingrui98  val allowBpuIn, allowToIfu = WireInit(false.B)
45109c6f1ddSLingrui98  val flushToIfu = !allowToIfu
452df5b4b8eSYinan Xu  allowBpuIn := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
453df5b4b8eSYinan Xu  allowToIfu := !ifuFlush && !backendRedirect.valid && !backendRedirectReg.valid
45409c6f1ddSLingrui98
455e30430c2SJay  val bpuPtr, ifuPtr, ifuWbPtr, commPtr = RegInit(FtqPtr(false.B, 0.U))
456c9bc5480SLingrui98  val ifuPtrPlus1 = RegInit(FtqPtr(false.B, 1.U))
45709c6f1ddSLingrui98  val validEntries = distanceBetween(bpuPtr, commPtr)
45809c6f1ddSLingrui98
45909c6f1ddSLingrui98  // **********************************************************************
46009c6f1ddSLingrui98  // **************************** enq from bpu ****************************
46109c6f1ddSLingrui98  // **********************************************************************
46209c6f1ddSLingrui98  val new_entry_ready = validEntries < FtqSize.U
46309c6f1ddSLingrui98  io.fromBpu.resp.ready := new_entry_ready
46409c6f1ddSLingrui98
46509c6f1ddSLingrui98  val bpu_s2_resp = io.fromBpu.resp.bits.s2
466cb4f77ceSLingrui98  val bpu_s3_resp = io.fromBpu.resp.bits.s3
46709c6f1ddSLingrui98  val bpu_s2_redirect = bpu_s2_resp.valid && bpu_s2_resp.hasRedirect
468cb4f77ceSLingrui98  val bpu_s3_redirect = bpu_s3_resp.valid && bpu_s3_resp.hasRedirect
46909c6f1ddSLingrui98
47009c6f1ddSLingrui98  io.toBpu.enq_ptr := bpuPtr
47109c6f1ddSLingrui98  val enq_fire = io.fromBpu.resp.fire() && allowBpuIn // from bpu s1
472cb4f77ceSLingrui98  val bpu_in_fire = (io.fromBpu.resp.fire() || bpu_s2_redirect || bpu_s3_redirect) && allowBpuIn
47309c6f1ddSLingrui98
474b37e4b45SLingrui98  val bpu_in_resp = io.fromBpu.resp.bits.selectedResp
475b37e4b45SLingrui98  val bpu_in_stage = io.fromBpu.resp.bits.selectedRespIdx
47609c6f1ddSLingrui98  val bpu_in_resp_ptr = Mux(bpu_in_stage === BP_S1, bpuPtr, bpu_in_resp.ftq_idx)
47709c6f1ddSLingrui98  val bpu_in_resp_idx = bpu_in_resp_ptr.value
47809c6f1ddSLingrui98
4799aca92b9SYinan Xu  // read ports:                            jumpPc + redirects + loadPred + robFlush + ifuReq1 + ifuReq2 + commitUpdate
4802e1be6e1SSteve Gou  val ftq_pc_mem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize, 1+numRedirectPcRead+2+1+1+1, 1))
48109c6f1ddSLingrui98  // resp from uBTB
48209c6f1ddSLingrui98  ftq_pc_mem.io.wen(0) := bpu_in_fire
48309c6f1ddSLingrui98  ftq_pc_mem.io.waddr(0) := bpu_in_resp_idx
48409c6f1ddSLingrui98  ftq_pc_mem.io.wdata(0).fromBranchPrediction(bpu_in_resp)
48509c6f1ddSLingrui98
48609c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
48709c6f1ddSLingrui98  val ftq_redirect_sram = Module(new FtqNRSRAM(new Ftq_Redirect_SRAMEntry, 1+1+1))
48809c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
48909c6f1ddSLingrui98  ftq_redirect_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
49009c6f1ddSLingrui98  ftq_redirect_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
49109c6f1ddSLingrui98  ftq_redirect_sram.io.wdata.fromBranchPrediction(io.fromBpu.resp.bits.lastStage)
49249cbc998SLingrui98  println(f"ftq redirect SRAM: entry ${ftq_redirect_sram.io.wdata.getWidth} * ${FtqSize} * 3")
49349cbc998SLingrui98  println(f"ftq redirect SRAM: ahead fh ${ftq_redirect_sram.io.wdata.afhob.getWidth} * ${FtqSize} * 3")
49409c6f1ddSLingrui98
49509c6f1ddSLingrui98  val ftq_meta_1r_sram = Module(new FtqNRSRAM(new Ftq_1R_SRAMEntry, 1))
49609c6f1ddSLingrui98  // these info is intended to enq at the last stage of bpu
49709c6f1ddSLingrui98  ftq_meta_1r_sram.io.wen := io.fromBpu.resp.bits.lastStage.valid
49809c6f1ddSLingrui98  ftq_meta_1r_sram.io.waddr := io.fromBpu.resp.bits.lastStage.ftq_idx.value
49909c6f1ddSLingrui98  ftq_meta_1r_sram.io.wdata.meta := io.fromBpu.resp.bits.meta
50009c6f1ddSLingrui98  //                                                            ifuRedirect + backendRedirect + commit
50109c6f1ddSLingrui98  val ftb_entry_mem = Module(new SyncDataModuleTemplate(new FTBEntry, FtqSize, 1+1+1, 1))
50209c6f1ddSLingrui98  ftb_entry_mem.io.wen(0) := io.fromBpu.resp.bits.lastStage.valid
50309c6f1ddSLingrui98  ftb_entry_mem.io.waddr(0) := io.fromBpu.resp.bits.lastStage.ftq_idx.value
50409c6f1ddSLingrui98  ftb_entry_mem.io.wdata(0) := io.fromBpu.resp.bits.lastStage.ftb_entry
50509c6f1ddSLingrui98
50609c6f1ddSLingrui98
50709c6f1ddSLingrui98  // multi-write
508b37e4b45SLingrui98  val update_target = Reg(Vec(FtqSize, UInt(VAddrBits.W))) // could be taken target or fallThrough
50909c6f1ddSLingrui98  val cfiIndex_vec = Reg(Vec(FtqSize, ValidUndirectioned(UInt(log2Ceil(PredictWidth).W))))
51009c6f1ddSLingrui98  val mispredict_vec = Reg(Vec(FtqSize, Vec(PredictWidth, Bool())))
51109c6f1ddSLingrui98  val pred_stage = Reg(Vec(FtqSize, UInt(2.W)))
51209c6f1ddSLingrui98
51309c6f1ddSLingrui98  val c_invalid :: c_valid :: c_commited :: Nil = Enum(3)
51409c6f1ddSLingrui98  val commitStateQueue = RegInit(VecInit(Seq.fill(FtqSize) {
51509c6f1ddSLingrui98    VecInit(Seq.fill(PredictWidth)(c_invalid))
51609c6f1ddSLingrui98  }))
51709c6f1ddSLingrui98
51809c6f1ddSLingrui98  val f_to_send :: f_sent :: Nil = Enum(2)
51909c6f1ddSLingrui98  val entry_fetch_status = RegInit(VecInit(Seq.fill(FtqSize)(f_sent)))
52009c6f1ddSLingrui98
52109c6f1ddSLingrui98  val h_not_hit :: h_false_hit :: h_hit :: Nil = Enum(3)
52209c6f1ddSLingrui98  val entry_hit_status = RegInit(VecInit(Seq.fill(FtqSize)(h_not_hit)))
52309c6f1ddSLingrui98
524f63797a4SLingrui98  // modify registers one cycle later to cut critical path
525f63797a4SLingrui98  val last_cycle_bpu_in = RegNext(bpu_in_fire)
526f63797a4SLingrui98  val last_cycle_bpu_in_idx = RegNext(bpu_in_resp_idx)
527f63797a4SLingrui98  val last_cycle_update_target = RegNext(bpu_in_resp.getTarget)
528f63797a4SLingrui98  val last_cycle_cfiIndex = RegNext(bpu_in_resp.cfiIndex)
529f63797a4SLingrui98  val last_cycle_bpu_in_stage = RegNext(bpu_in_stage)
530f63797a4SLingrui98  when (last_cycle_bpu_in) {
531f63797a4SLingrui98    entry_fetch_status(last_cycle_bpu_in_idx) := f_to_send
532f63797a4SLingrui98    commitStateQueue(last_cycle_bpu_in_idx) := VecInit(Seq.fill(PredictWidth)(c_invalid))
533f63797a4SLingrui98    cfiIndex_vec(last_cycle_bpu_in_idx) := last_cycle_cfiIndex
534f63797a4SLingrui98    mispredict_vec(last_cycle_bpu_in_idx) := WireInit(VecInit(Seq.fill(PredictWidth)(false.B)))
535f63797a4SLingrui98    update_target(last_cycle_bpu_in_idx) := last_cycle_update_target
536f63797a4SLingrui98    pred_stage(last_cycle_bpu_in_idx) := last_cycle_bpu_in_stage
53709c6f1ddSLingrui98  }
53809c6f1ddSLingrui98
539f63797a4SLingrui98
54009c6f1ddSLingrui98  bpuPtr := bpuPtr + enq_fire
541c9bc5480SLingrui98  when (io.toIfu.req.fire && allowToIfu) {
542c9bc5480SLingrui98    ifuPtr := ifuPtrPlus1
543c9bc5480SLingrui98    ifuPtrPlus1 := ifuPtrPlus1 + 1.U
544c9bc5480SLingrui98  }
54509c6f1ddSLingrui98
54609c6f1ddSLingrui98  // only use ftb result to assign hit status
54709c6f1ddSLingrui98  when (bpu_s2_resp.valid) {
548b37e4b45SLingrui98    entry_hit_status(bpu_s2_resp.ftq_idx.value) := Mux(bpu_s2_resp.full_pred.hit, h_hit, h_not_hit)
54909c6f1ddSLingrui98  }
55009c6f1ddSLingrui98
55109c6f1ddSLingrui98
5522f4a3aa4SLingrui98  io.toIfu.flushFromBpu.s2.valid := bpu_s2_redirect
55309c6f1ddSLingrui98  io.toIfu.flushFromBpu.s2.bits := bpu_s2_resp.ftq_idx
55409c6f1ddSLingrui98  when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect) {
55509c6f1ddSLingrui98    bpuPtr := bpu_s2_resp.ftq_idx + 1.U
55609c6f1ddSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
55709c6f1ddSLingrui98    when (!isBefore(ifuPtr, bpu_s2_resp.ftq_idx)) {
55809c6f1ddSLingrui98      ifuPtr := bpu_s2_resp.ftq_idx
559c9bc5480SLingrui98      ifuPtrPlus1 := bpu_s2_resp.ftq_idx + 1.U
56009c6f1ddSLingrui98    }
56109c6f1ddSLingrui98  }
56209c6f1ddSLingrui98
563cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.valid := bpu_s3_redirect
564cb4f77ceSLingrui98  io.toIfu.flushFromBpu.s3.bits := bpu_s3_resp.ftq_idx
565cb4f77ceSLingrui98  when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect) {
566cb4f77ceSLingrui98    bpuPtr := bpu_s3_resp.ftq_idx + 1.U
567cb4f77ceSLingrui98    // only when ifuPtr runs ahead of bpu s2 resp should we recover it
568cb4f77ceSLingrui98    when (!isBefore(ifuPtr, bpu_s3_resp.ftq_idx)) {
569cb4f77ceSLingrui98      ifuPtr := bpu_s3_resp.ftq_idx
570c9bc5480SLingrui98      ifuPtrPlus1 := bpu_s3_resp.ftq_idx + 1.U
571cb4f77ceSLingrui98    }
572cb4f77ceSLingrui98  }
573cb4f77ceSLingrui98
57409c6f1ddSLingrui98  XSError(isBefore(bpuPtr, ifuPtr) && !isFull(bpuPtr, ifuPtr), "\nifuPtr is before bpuPtr!\n")
57509c6f1ddSLingrui98
57609c6f1ddSLingrui98  // ****************************************************************
57709c6f1ddSLingrui98  // **************************** to ifu ****************************
57809c6f1ddSLingrui98  // ****************************************************************
579005e809bSJiuyang Liu  val bpu_in_bypass_buf = RegEnable(ftq_pc_mem.io.wdata(0), bpu_in_fire)
58009c6f1ddSLingrui98  val bpu_in_bypass_ptr = RegNext(bpu_in_resp_ptr)
58109c6f1ddSLingrui98  val last_cycle_to_ifu_fire = RegNext(io.toIfu.req.fire)
58209c6f1ddSLingrui98
58309c6f1ddSLingrui98  // read pc and target
58409c6f1ddSLingrui98  ftq_pc_mem.io.raddr.init.init.last := ifuPtr.value
585c9bc5480SLingrui98  ftq_pc_mem.io.raddr.init.last := ifuPtrPlus1.value
58609c6f1ddSLingrui98
5875ff19bd8SLingrui98  io.toIfu.req.bits.ftqIdx := ifuPtr
588f63797a4SLingrui98
58909c6f1ddSLingrui98
590b37e4b45SLingrui98  val toIfuPcBundle = Wire(new Ftq_RF_Components)
591f63797a4SLingrui98  val entry_is_to_send = WireInit(entry_fetch_status(ifuPtr.value) === f_to_send)
592f63797a4SLingrui98  val entry_next_addr = WireInit(update_target(ifuPtr.value))
593f63797a4SLingrui98  val entry_ftq_offset = WireInit(cfiIndex_vec(ifuPtr.value))
594f63797a4SLingrui98
5957052722fSJay
59609c6f1ddSLingrui98  when (last_cycle_bpu_in && bpu_in_bypass_ptr === ifuPtr) {
597b37e4b45SLingrui98    toIfuPcBundle := bpu_in_bypass_buf
598f678dd91SSteve Gou    entry_is_to_send := true.B
599f63797a4SLingrui98    entry_next_addr := last_cycle_update_target
600f63797a4SLingrui98    entry_ftq_offset := last_cycle_cfiIndex
60109c6f1ddSLingrui98  }.elsewhen (last_cycle_to_ifu_fire) {
602b37e4b45SLingrui98    toIfuPcBundle := ftq_pc_mem.io.rdata.init.last
603c9bc5480SLingrui98    entry_is_to_send := RegNext(entry_fetch_status(ifuPtrPlus1.value) === f_to_send) ||
604c9bc5480SLingrui98                        RegNext(last_cycle_bpu_in && bpu_in_bypass_ptr === (ifuPtrPlus1)) // reduce potential bubbles
60509c6f1ddSLingrui98  }.otherwise {
606b37e4b45SLingrui98    toIfuPcBundle := ftq_pc_mem.io.rdata.init.init.last
607f678dd91SSteve Gou    entry_is_to_send := RegNext(entry_fetch_status(ifuPtr.value) === f_to_send)
60809c6f1ddSLingrui98  }
60909c6f1ddSLingrui98
610f678dd91SSteve Gou  io.toIfu.req.valid := entry_is_to_send && ifuPtr =/= bpuPtr
611f63797a4SLingrui98  io.toIfu.req.bits.nextStartAddr := entry_next_addr
612f63797a4SLingrui98  io.toIfu.req.bits.ftqOffset := entry_ftq_offset
613b37e4b45SLingrui98  io.toIfu.req.bits.fromFtqPcBundle(toIfuPcBundle)
614b37e4b45SLingrui98
61509c6f1ddSLingrui98  // when fall through is smaller in value than start address, there must be a false hit
616b37e4b45SLingrui98  when (toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit) {
61709c6f1ddSLingrui98    when (io.toIfu.req.fire &&
618cb4f77ceSLingrui98      !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) &&
619cb4f77ceSLingrui98      !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr)
62009c6f1ddSLingrui98    ) {
62109c6f1ddSLingrui98      entry_hit_status(ifuPtr.value) := h_false_hit
622352db50aSLingrui98      // XSError(true.B, "FTB false hit by fallThroughError, startAddr: %x, fallTHru: %x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
62309c6f1ddSLingrui98    }
624b37e4b45SLingrui98    XSDebug(true.B, "fallThruError! start:%x, fallThru:%x\n", io.toIfu.req.bits.startAddr, io.toIfu.req.bits.nextStartAddr)
62509c6f1ddSLingrui98  }
62609c6f1ddSLingrui98
627a60a2901SLingrui98  XSPerfAccumulate(f"fall_through_error_to_ifu", toIfuPcBundle.fallThruError && entry_hit_status(ifuPtr.value) === h_hit &&
628a60a2901SLingrui98    io.toIfu.req.fire && !(bpu_s2_redirect && bpu_s2_resp.ftq_idx === ifuPtr) && !(bpu_s3_redirect && bpu_s3_resp.ftq_idx === ifuPtr))
629a60a2901SLingrui98
63009c6f1ddSLingrui98  val ifu_req_should_be_flushed =
631cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage2(io.toIfu.req.bits.ftqIdx) ||
632cb4f77ceSLingrui98    io.toIfu.flushFromBpu.shouldFlushByStage3(io.toIfu.req.bits.ftqIdx)
63309c6f1ddSLingrui98
63409c6f1ddSLingrui98    when (io.toIfu.req.fire && !ifu_req_should_be_flushed) {
63509c6f1ddSLingrui98      entry_fetch_status(ifuPtr.value) := f_sent
63609c6f1ddSLingrui98    }
63709c6f1ddSLingrui98
63809c6f1ddSLingrui98  // *********************************************************************
63909c6f1ddSLingrui98  // **************************** wb from ifu ****************************
64009c6f1ddSLingrui98  // *********************************************************************
64109c6f1ddSLingrui98  val pdWb = io.fromIfu.pdWb
64209c6f1ddSLingrui98  val pds = pdWb.bits.pd
64309c6f1ddSLingrui98  val ifu_wb_valid = pdWb.valid
64409c6f1ddSLingrui98  val ifu_wb_idx = pdWb.bits.ftqIdx.value
64509c6f1ddSLingrui98  // read ports:                                                         commit update
64609c6f1ddSLingrui98  val ftq_pd_mem = Module(new SyncDataModuleTemplate(new Ftq_pd_Entry, FtqSize, 1, 1))
64709c6f1ddSLingrui98  ftq_pd_mem.io.wen(0) := ifu_wb_valid
64809c6f1ddSLingrui98  ftq_pd_mem.io.waddr(0) := pdWb.bits.ftqIdx.value
64909c6f1ddSLingrui98  ftq_pd_mem.io.wdata(0).fromPdWb(pdWb.bits)
65009c6f1ddSLingrui98
65109c6f1ddSLingrui98  val hit_pd_valid = entry_hit_status(ifu_wb_idx) === h_hit && ifu_wb_valid
65209c6f1ddSLingrui98  val hit_pd_mispred = hit_pd_valid && pdWb.bits.misOffset.valid
65309c6f1ddSLingrui98  val hit_pd_mispred_reg = RegNext(hit_pd_mispred, init=false.B)
654005e809bSJiuyang Liu  val pd_reg       = RegEnable(pds,             pdWb.valid)
655005e809bSJiuyang Liu  val start_pc_reg = RegEnable(pdWb.bits.pc(0), pdWb.valid)
656005e809bSJiuyang Liu  val wb_idx_reg   = RegEnable(ifu_wb_idx,      pdWb.valid)
65709c6f1ddSLingrui98
65809c6f1ddSLingrui98  when (ifu_wb_valid) {
65909c6f1ddSLingrui98    val comm_stq_wen = VecInit(pds.map(_.valid).zip(pdWb.bits.instrRange).map{
66009c6f1ddSLingrui98      case (v, inRange) => v && inRange
66109c6f1ddSLingrui98    })
66209c6f1ddSLingrui98    (commitStateQueue(ifu_wb_idx) zip comm_stq_wen).map{
66309c6f1ddSLingrui98      case (qe, v) => when (v) { qe := c_valid }
66409c6f1ddSLingrui98    }
66509c6f1ddSLingrui98  }
66609c6f1ddSLingrui98
66709c6f1ddSLingrui98  ifuWbPtr := ifuWbPtr + ifu_wb_valid
66809c6f1ddSLingrui98
66909c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := ifu_wb_idx
67009c6f1ddSLingrui98  val has_false_hit = WireInit(false.B)
67109c6f1ddSLingrui98  when (RegNext(hit_pd_valid)) {
67209c6f1ddSLingrui98    // check for false hit
67309c6f1ddSLingrui98    val pred_ftb_entry = ftb_entry_mem.io.rdata.head
674eeb5ff92SLingrui98    val brSlots = pred_ftb_entry.brSlots
675eeb5ff92SLingrui98    val tailSlot = pred_ftb_entry.tailSlot
67609c6f1ddSLingrui98    // we check cfis that bpu predicted
67709c6f1ddSLingrui98
678eeb5ff92SLingrui98    // bpu predicted branches but denied by predecode
679eeb5ff92SLingrui98    val br_false_hit =
680eeb5ff92SLingrui98      brSlots.map{
681eeb5ff92SLingrui98        s => s.valid && !(pd_reg(s.offset).valid && pd_reg(s.offset).isBr)
682eeb5ff92SLingrui98      }.reduce(_||_) ||
683b37e4b45SLingrui98      (tailSlot.valid && pred_ftb_entry.tailSlot.sharing &&
684eeb5ff92SLingrui98        !(pd_reg(tailSlot.offset).valid && pd_reg(tailSlot.offset).isBr))
685eeb5ff92SLingrui98
686eeb5ff92SLingrui98    val jmpOffset = tailSlot.offset
68709c6f1ddSLingrui98    val jmp_pd = pd_reg(jmpOffset)
68809c6f1ddSLingrui98    val jal_false_hit = pred_ftb_entry.jmpValid &&
68909c6f1ddSLingrui98      ((pred_ftb_entry.isJal  && !(jmp_pd.valid && jmp_pd.isJal)) ||
69009c6f1ddSLingrui98       (pred_ftb_entry.isJalr && !(jmp_pd.valid && jmp_pd.isJalr)) ||
69109c6f1ddSLingrui98       (pred_ftb_entry.isCall && !(jmp_pd.valid && jmp_pd.isCall)) ||
69209c6f1ddSLingrui98       (pred_ftb_entry.isRet  && !(jmp_pd.valid && jmp_pd.isRet))
69309c6f1ddSLingrui98      )
69409c6f1ddSLingrui98
69509c6f1ddSLingrui98    has_false_hit := br_false_hit || jal_false_hit || hit_pd_mispred_reg
69665fddcf0Szoujr    XSDebug(has_false_hit, "FTB false hit by br or jal or hit_pd, startAddr: %x\n", pdWb.bits.pc(0))
69765fddcf0Szoujr
698352db50aSLingrui98    // assert(!has_false_hit)
69909c6f1ddSLingrui98  }
70009c6f1ddSLingrui98
70109c6f1ddSLingrui98  when (has_false_hit) {
70209c6f1ddSLingrui98    entry_hit_status(wb_idx_reg) := h_false_hit
70309c6f1ddSLingrui98  }
70409c6f1ddSLingrui98
70509c6f1ddSLingrui98
70609c6f1ddSLingrui98  // **********************************************************************
70709c6f1ddSLingrui98  // **************************** backend read ****************************
70809c6f1ddSLingrui98  // **********************************************************************
70909c6f1ddSLingrui98
71009c6f1ddSLingrui98  // pc reads
71109c6f1ddSLingrui98  for ((req, i) <- io.toBackend.pc_reads.zipWithIndex) {
71209c6f1ddSLingrui98    ftq_pc_mem.io.raddr(i) := req.ptr.value
71309c6f1ddSLingrui98    req.data := ftq_pc_mem.io.rdata(i).getPc(RegNext(req.offset))
71409c6f1ddSLingrui98  }
71509c6f1ddSLingrui98  // target read
71609c6f1ddSLingrui98  io.toBackend.target_read.data := RegNext(update_target(io.toBackend.target_read.ptr.value))
71709c6f1ddSLingrui98
71809c6f1ddSLingrui98  // *******************************************************************************
71909c6f1ddSLingrui98  // **************************** redirect from backend ****************************
72009c6f1ddSLingrui98  // *******************************************************************************
72109c6f1ddSLingrui98
72209c6f1ddSLingrui98  // redirect read cfiInfo, couples to redirectGen s2
7232e1be6e1SSteve Gou  ftq_redirect_sram.io.ren.init.last := backendRedirect.valid
7242e1be6e1SSteve Gou  ftq_redirect_sram.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
72509c6f1ddSLingrui98
7262e1be6e1SSteve Gou  ftb_entry_mem.io.raddr.init.last := backendRedirect.bits.ftqIdx.value
72709c6f1ddSLingrui98
72809c6f1ddSLingrui98  val stage3CfiInfo = ftq_redirect_sram.io.rdata.init.last
729df5b4b8eSYinan Xu  val fromBackendRedirect = WireInit(backendRedirectReg)
73009c6f1ddSLingrui98  val backendRedirectCfi = fromBackendRedirect.bits.cfiUpdate
73109c6f1ddSLingrui98  backendRedirectCfi.fromFtqRedirectSram(stage3CfiInfo)
73209c6f1ddSLingrui98
73309c6f1ddSLingrui98  val r_ftb_entry = ftb_entry_mem.io.rdata.init.last
73409c6f1ddSLingrui98  val r_ftqOffset = fromBackendRedirect.bits.ftqOffset
73509c6f1ddSLingrui98
73609c6f1ddSLingrui98  when (entry_hit_status(fromBackendRedirect.bits.ftqIdx.value) === h_hit) {
73709c6f1ddSLingrui98    backendRedirectCfi.shift := PopCount(r_ftb_entry.getBrMaskByOffset(r_ftqOffset)) +&
73809c6f1ddSLingrui98      (backendRedirectCfi.pd.isBr && !r_ftb_entry.brIsSaved(r_ftqOffset) &&
739eeb5ff92SLingrui98      !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
74009c6f1ddSLingrui98
74109c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr && (r_ftb_entry.brIsSaved(r_ftqOffset) ||
742eeb5ff92SLingrui98        !r_ftb_entry.newBrCanNotInsert(r_ftqOffset))
74309c6f1ddSLingrui98  }.otherwise {
74409c6f1ddSLingrui98    backendRedirectCfi.shift := (backendRedirectCfi.pd.isBr && backendRedirectCfi.taken).asUInt
74509c6f1ddSLingrui98    backendRedirectCfi.addIntoHist := backendRedirectCfi.pd.isBr.asUInt
74609c6f1ddSLingrui98  }
74709c6f1ddSLingrui98
74809c6f1ddSLingrui98
74909c6f1ddSLingrui98  // ***************************************************************************
75009c6f1ddSLingrui98  // **************************** redirect from ifu ****************************
75109c6f1ddSLingrui98  // ***************************************************************************
75209c6f1ddSLingrui98  val fromIfuRedirect = WireInit(0.U.asTypeOf(Valid(new Redirect)))
75309c6f1ddSLingrui98  fromIfuRedirect.valid := pdWb.valid && pdWb.bits.misOffset.valid && !backendFlush
75409c6f1ddSLingrui98  fromIfuRedirect.bits.ftqIdx := pdWb.bits.ftqIdx
75509c6f1ddSLingrui98  fromIfuRedirect.bits.ftqOffset := pdWb.bits.misOffset.bits
75609c6f1ddSLingrui98  fromIfuRedirect.bits.level := RedirectLevel.flushAfter
75709c6f1ddSLingrui98
75809c6f1ddSLingrui98  val ifuRedirectCfiUpdate = fromIfuRedirect.bits.cfiUpdate
75909c6f1ddSLingrui98  ifuRedirectCfiUpdate.pc := pdWb.bits.pc(pdWb.bits.misOffset.bits)
76009c6f1ddSLingrui98  ifuRedirectCfiUpdate.pd := pdWb.bits.pd(pdWb.bits.misOffset.bits)
76109c6f1ddSLingrui98  ifuRedirectCfiUpdate.predTaken := cfiIndex_vec(pdWb.bits.ftqIdx.value).valid
76209c6f1ddSLingrui98  ifuRedirectCfiUpdate.target := pdWb.bits.target
76309c6f1ddSLingrui98  ifuRedirectCfiUpdate.taken := pdWb.bits.cfiOffset.valid
76409c6f1ddSLingrui98  ifuRedirectCfiUpdate.isMisPred := pdWb.bits.misOffset.valid
76509c6f1ddSLingrui98
76609c6f1ddSLingrui98  val ifuRedirectReg = RegNext(fromIfuRedirect, init=0.U.asTypeOf(Valid(new Redirect)))
76709c6f1ddSLingrui98  val ifuRedirectToBpu = WireInit(ifuRedirectReg)
76809c6f1ddSLingrui98  ifuFlush := fromIfuRedirect.valid || ifuRedirectToBpu.valid
76909c6f1ddSLingrui98
77009c6f1ddSLingrui98  ftq_redirect_sram.io.ren.head := fromIfuRedirect.valid
77109c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
77209c6f1ddSLingrui98
77309c6f1ddSLingrui98  ftb_entry_mem.io.raddr.head := fromIfuRedirect.bits.ftqIdx.value
77409c6f1ddSLingrui98
77509c6f1ddSLingrui98  val toBpuCfi = ifuRedirectToBpu.bits.cfiUpdate
77609c6f1ddSLingrui98  toBpuCfi.fromFtqRedirectSram(ftq_redirect_sram.io.rdata.head)
77709c6f1ddSLingrui98  when (ifuRedirectReg.bits.cfiUpdate.pd.isRet) {
77809c6f1ddSLingrui98    toBpuCfi.target := toBpuCfi.rasEntry.retAddr
77909c6f1ddSLingrui98  }
78009c6f1ddSLingrui98
78109c6f1ddSLingrui98  // *********************************************************************
78209c6f1ddSLingrui98  // **************************** wb from exu ****************************
78309c6f1ddSLingrui98  // *********************************************************************
78409c6f1ddSLingrui98
7852e1be6e1SSteve Gou  class RedirectGen(implicit p: Parameters) extends XSModule
7862e1be6e1SSteve Gou    with HasCircularQueuePtrHelper {
7872e1be6e1SSteve Gou    val io = IO(new Bundle {
7882e1be6e1SSteve Gou      val in = Flipped((new CtrlToFtqIO).for_redirect_gen)
7892e1be6e1SSteve Gou      val stage1Pc = Input(Vec(numRedirectPcRead, UInt(VAddrBits.W)))
7902e1be6e1SSteve Gou      val out = Valid(new Redirect)
7912e1be6e1SSteve Gou      val s1_real_pc = Output(UInt(VAddrBits.W))
7922e1be6e1SSteve Gou      val debug_diff = Flipped(Valid(new Redirect))
7932e1be6e1SSteve Gou    })
7942e1be6e1SSteve Gou    val s1_jumpTarget = io.in.s1_jumpTarget
7952e1be6e1SSteve Gou    val s1_uop = io.in.s1_oldest_exu_output.bits.uop
7962e1be6e1SSteve Gou    val s1_imm12_reg = s1_uop.ctrl.imm(11,0)
7972e1be6e1SSteve Gou    val s1_pd = s1_uop.cf.pd
7982e1be6e1SSteve Gou    val s1_isReplay = io.in.s1_redirect_onehot.last
7992e1be6e1SSteve Gou    val s1_isJump = io.in.s1_redirect_onehot.head
8002e1be6e1SSteve Gou    val real_pc = Mux1H(io.in.s1_redirect_onehot, io.stage1Pc)
8012e1be6e1SSteve Gou    val brTarget = real_pc + SignExt(ImmUnion.B.toImm32(s1_imm12_reg), XLEN)
8022e1be6e1SSteve Gou    val snpc = real_pc + Mux(s1_pd.isRVC, 2.U, 4.U)
8032e1be6e1SSteve Gou    val target = Mux(s1_isReplay,
8042e1be6e1SSteve Gou      real_pc,
8052e1be6e1SSteve Gou      Mux(io.in.s1_oldest_redirect.bits.cfiUpdate.taken,
8062e1be6e1SSteve Gou        Mux(s1_isJump, io.in.s1_jumpTarget, brTarget),
8072e1be6e1SSteve Gou        snpc
8082e1be6e1SSteve Gou      )
8092e1be6e1SSteve Gou    )
8102e1be6e1SSteve Gou
8112e1be6e1SSteve Gou    val redirectGenRes = WireInit(io.in.rawRedirect)
8122e1be6e1SSteve Gou    redirectGenRes.bits.cfiUpdate.pc := real_pc
8132e1be6e1SSteve Gou    redirectGenRes.bits.cfiUpdate.pd := s1_pd
8142e1be6e1SSteve Gou    redirectGenRes.bits.cfiUpdate.target := target
8152e1be6e1SSteve Gou
8162e1be6e1SSteve Gou    val realRedirect = Wire(Valid(new Redirect))
8172e1be6e1SSteve Gou    realRedirect.valid := redirectGenRes.valid || io.in.flushRedirect.valid
8182e1be6e1SSteve Gou    realRedirect.bits := Mux(io.in.flushRedirect.valid, io.in.flushRedirect.bits, redirectGenRes.bits)
8192e1be6e1SSteve Gou
8202e1be6e1SSteve Gou    when (io.in.flushRedirect.valid) {
8212e1be6e1SSteve Gou      realRedirect.bits.level := RedirectLevel.flush
8222e1be6e1SSteve Gou      realRedirect.bits.cfiUpdate.target := io.in.frontendFlushTarget
8232e1be6e1SSteve Gou    }
8242e1be6e1SSteve Gou
8252e1be6e1SSteve Gou    io.out := realRedirect
8262e1be6e1SSteve Gou    io.s1_real_pc := real_pc
8272e1be6e1SSteve Gou    XSError((io.debug_diff.valid || realRedirect.valid) && io.debug_diff.asUInt =/= io.out.asUInt, "redirect wrong")
8282e1be6e1SSteve Gou
8292e1be6e1SSteve Gou  }
8302e1be6e1SSteve Gou
8312e1be6e1SSteve Gou  val redirectGen = Module(new RedirectGen)
8322e1be6e1SSteve Gou  redirectGen.io.in <> io.fromBackend.for_redirect_gen
8332e1be6e1SSteve Gou  redirectGen.io.stage1Pc := io.toBackend.getRedirectPcReadData
8342e1be6e1SSteve Gou  redirectGen.io.debug_diff := io.fromBackend.redirect
8352e1be6e1SSteve Gou  backendRedirect := redirectGen.io.out
8362e1be6e1SSteve Gou
8372e1be6e1SSteve Gou  io.toBackend.redirect_s1_real_pc := redirectGen.io.s1_real_pc
8382e1be6e1SSteve Gou
83909c6f1ddSLingrui98  def extractRedirectInfo(wb: Valid[Redirect]) = {
84009c6f1ddSLingrui98    val ftqIdx = wb.bits.ftqIdx.value
84109c6f1ddSLingrui98    val ftqOffset = wb.bits.ftqOffset
84209c6f1ddSLingrui98    val taken = wb.bits.cfiUpdate.taken
84309c6f1ddSLingrui98    val mispred = wb.bits.cfiUpdate.isMisPred
84409c6f1ddSLingrui98    (wb.valid, ftqIdx, ftqOffset, taken, mispred)
84509c6f1ddSLingrui98  }
84609c6f1ddSLingrui98
84709c6f1ddSLingrui98  // fix mispredict entry
84809c6f1ddSLingrui98  val lastIsMispredict = RegNext(
849df5b4b8eSYinan Xu    backendRedirect.valid && backendRedirect.bits.level === RedirectLevel.flushAfter, init = false.B
85009c6f1ddSLingrui98  )
85109c6f1ddSLingrui98
85209c6f1ddSLingrui98  def updateCfiInfo(redirect: Valid[Redirect], isBackend: Boolean = true) = {
85309c6f1ddSLingrui98    val (r_valid, r_idx, r_offset, r_taken, r_mispred) = extractRedirectInfo(redirect)
85409c6f1ddSLingrui98    val cfiIndex_bits_wen = r_valid && r_taken && r_offset < cfiIndex_vec(r_idx).bits
85509c6f1ddSLingrui98    val cfiIndex_valid_wen = r_valid && r_offset === cfiIndex_vec(r_idx).bits
85609c6f1ddSLingrui98    when (cfiIndex_bits_wen || cfiIndex_valid_wen) {
85709c6f1ddSLingrui98      cfiIndex_vec(r_idx).valid := cfiIndex_bits_wen || cfiIndex_valid_wen && r_taken
85809c6f1ddSLingrui98    }
85909c6f1ddSLingrui98    when (cfiIndex_bits_wen) {
86009c6f1ddSLingrui98      cfiIndex_vec(r_idx).bits := r_offset
86109c6f1ddSLingrui98    }
86209c6f1ddSLingrui98    update_target(r_idx) := redirect.bits.cfiUpdate.target
86309c6f1ddSLingrui98    if (isBackend) {
86409c6f1ddSLingrui98      mispredict_vec(r_idx)(r_offset) := r_mispred
86509c6f1ddSLingrui98    }
86609c6f1ddSLingrui98  }
86709c6f1ddSLingrui98
868df5b4b8eSYinan Xu  when(backendRedirectReg.valid && lastIsMispredict) {
869df5b4b8eSYinan Xu    updateCfiInfo(backendRedirectReg)
87009c6f1ddSLingrui98  }.elsewhen (ifuRedirectToBpu.valid) {
87109c6f1ddSLingrui98    updateCfiInfo(ifuRedirectToBpu, isBackend=false)
87209c6f1ddSLingrui98  }
87309c6f1ddSLingrui98
87409c6f1ddSLingrui98  // ***********************************************************************************
87509c6f1ddSLingrui98  // **************************** flush ptr and state queue ****************************
87609c6f1ddSLingrui98  // ***********************************************************************************
87709c6f1ddSLingrui98
878df5b4b8eSYinan Xu  val redirectVec = VecInit(backendRedirect, fromIfuRedirect)
87909c6f1ddSLingrui98
88009c6f1ddSLingrui98  // when redirect, we should reset ptrs and status queues
88109c6f1ddSLingrui98  when(redirectVec.map(r => r.valid).reduce(_||_)){
8822f4a3aa4SLingrui98    val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
88309c6f1ddSLingrui98    val notIfu = redirectVec.dropRight(1).map(r => r.valid).reduce(_||_)
8842f4a3aa4SLingrui98    val (idx, offset, flushItSelf) = (r.ftqIdx, r.ftqOffset, RedirectLevel.flushItself(r.level))
88509c6f1ddSLingrui98    val next = idx + 1.U
88609c6f1ddSLingrui98    bpuPtr := next
88709c6f1ddSLingrui98    ifuPtr := next
88809c6f1ddSLingrui98    ifuWbPtr := next
889c9bc5480SLingrui98    ifuPtrPlus1 := idx + 2.U
89009c6f1ddSLingrui98    when (notIfu) {
89109c6f1ddSLingrui98      commitStateQueue(idx.value).zipWithIndex.foreach({ case (s, i) =>
89209c6f1ddSLingrui98        when(i.U > offset || i.U === offset && flushItSelf){
89309c6f1ddSLingrui98          s := c_invalid
89409c6f1ddSLingrui98        }
89509c6f1ddSLingrui98      })
89609c6f1ddSLingrui98    }
89709c6f1ddSLingrui98  }
89809c6f1ddSLingrui98
89909c6f1ddSLingrui98  // only the valid bit is actually needed
900df5b4b8eSYinan Xu  io.toIfu.redirect.bits    := backendRedirect.bits
90109c6f1ddSLingrui98  io.toIfu.redirect.valid   := stage2Flush
90209c6f1ddSLingrui98
90309c6f1ddSLingrui98  // commit
9049aca92b9SYinan Xu  for (c <- io.fromBackend.rob_commits) {
90509c6f1ddSLingrui98    when(c.valid) {
90609c6f1ddSLingrui98      commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset) := c_commited
90788825c5cSYinan Xu      // TODO: remove this
90888825c5cSYinan Xu      // For instruction fusions, we also update the next instruction
909c3abb8b6SYinan Xu      when (c.bits.commitType === 4.U) {
91088825c5cSYinan Xu        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 1.U) := c_commited
911c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 5.U) {
91288825c5cSYinan Xu        commitStateQueue(c.bits.ftqIdx.value)(c.bits.ftqOffset + 2.U) := c_commited
913c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 6.U) {
91488825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
91588825c5cSYinan Xu        commitStateQueue(index)(0) := c_commited
916c3abb8b6SYinan Xu      }.elsewhen(c.bits.commitType === 7.U) {
91788825c5cSYinan Xu        val index = (c.bits.ftqIdx + 1.U).value
91888825c5cSYinan Xu        commitStateQueue(index)(1) := c_commited
91988825c5cSYinan Xu      }
92009c6f1ddSLingrui98    }
92109c6f1ddSLingrui98  }
92209c6f1ddSLingrui98
92309c6f1ddSLingrui98  // ****************************************************************
92409c6f1ddSLingrui98  // **************************** to bpu ****************************
92509c6f1ddSLingrui98  // ****************************************************************
92609c6f1ddSLingrui98
92709c6f1ddSLingrui98  io.toBpu.redirect <> Mux(fromBackendRedirect.valid, fromBackendRedirect, ifuRedirectToBpu)
92809c6f1ddSLingrui98
929*02f21c16SLingrui98  val may_have_stall_from_bpu = Wire(Bool())
930*02f21c16SLingrui98  val bpu_ftb_update_stall = RegInit(0.U(2.W)) // 2-cycle stall, so we need 3 states
931*02f21c16SLingrui98  may_have_stall_from_bpu := bpu_ftb_update_stall =/= 0.U
9325371700eSzoujr  val canCommit = commPtr =/= ifuWbPtr && !may_have_stall_from_bpu &&
93309c6f1ddSLingrui98    Cat(commitStateQueue(commPtr.value).map(s => {
93409c6f1ddSLingrui98      s === c_invalid || s === c_commited
93509c6f1ddSLingrui98    })).andR()
93609c6f1ddSLingrui98
93709c6f1ddSLingrui98  // commit reads
93809c6f1ddSLingrui98  ftq_pc_mem.io.raddr.last := commPtr.value
93909c6f1ddSLingrui98  val commit_pc_bundle = ftq_pc_mem.io.rdata.last
94009c6f1ddSLingrui98  ftq_pd_mem.io.raddr.last := commPtr.value
94109c6f1ddSLingrui98  val commit_pd = ftq_pd_mem.io.rdata.last
94209c6f1ddSLingrui98  ftq_redirect_sram.io.ren.last := canCommit
94309c6f1ddSLingrui98  ftq_redirect_sram.io.raddr.last := commPtr.value
94409c6f1ddSLingrui98  val commit_spec_meta = ftq_redirect_sram.io.rdata.last
94509c6f1ddSLingrui98  ftq_meta_1r_sram.io.ren(0) := canCommit
94609c6f1ddSLingrui98  ftq_meta_1r_sram.io.raddr(0) := commPtr.value
94709c6f1ddSLingrui98  val commit_meta = ftq_meta_1r_sram.io.rdata(0)
94809c6f1ddSLingrui98  ftb_entry_mem.io.raddr.last := commPtr.value
94909c6f1ddSLingrui98  val commit_ftb_entry = ftb_entry_mem.io.rdata.last
95009c6f1ddSLingrui98
95109c6f1ddSLingrui98  // need one cycle to read mem and srams
95209c6f1ddSLingrui98  val do_commit_ptr = RegNext(commPtr)
9535371700eSzoujr  val do_commit = RegNext(canCommit, init=false.B)
95409c6f1ddSLingrui98  when (canCommit) { commPtr := commPtr + 1.U }
95509c6f1ddSLingrui98  val commit_state = RegNext(commitStateQueue(commPtr.value))
9565371700eSzoujr  val can_commit_cfi = WireInit(cfiIndex_vec(commPtr.value))
9575371700eSzoujr  when (commitStateQueue(commPtr.value)(can_commit_cfi.bits) =/= c_commited) {
9585371700eSzoujr    can_commit_cfi.valid := false.B
95909c6f1ddSLingrui98  }
9605371700eSzoujr  val commit_cfi = RegNext(can_commit_cfi)
96109c6f1ddSLingrui98
96209c6f1ddSLingrui98  val commit_mispredict = VecInit((RegNext(mispredict_vec(commPtr.value)) zip commit_state).map {
96309c6f1ddSLingrui98    case (mis, state) => mis && state === c_commited
96409c6f1ddSLingrui98  })
9655371700eSzoujr  val can_commit_hit = entry_hit_status(commPtr.value)
9665371700eSzoujr  val commit_hit = RegNext(can_commit_hit)
96709c6f1ddSLingrui98  val commit_target = RegNext(update_target(commPtr.value))
968edc18578SLingrui98  val commit_stage = RegNext(pred_stage(commPtr.value))
96909c6f1ddSLingrui98  val commit_valid = commit_hit === h_hit || commit_cfi.valid // hit or taken
97009c6f1ddSLingrui98
9715371700eSzoujr  val to_bpu_hit = can_commit_hit === h_hit || can_commit_hit === h_false_hit
972*02f21c16SLingrui98  switch (bpu_ftb_update_stall) {
973*02f21c16SLingrui98    is (0.U) {
974*02f21c16SLingrui98      when (can_commit_cfi.valid && !to_bpu_hit && canCommit) {
975*02f21c16SLingrui98        bpu_ftb_update_stall := 2.U // 2-cycle stall
976*02f21c16SLingrui98      }
977*02f21c16SLingrui98    }
978*02f21c16SLingrui98    is (2.U) {
979*02f21c16SLingrui98      bpu_ftb_update_stall := 1.U
980*02f21c16SLingrui98    }
981*02f21c16SLingrui98    is (1.U) {
982*02f21c16SLingrui98      bpu_ftb_update_stall := 0.U
983*02f21c16SLingrui98    }
984*02f21c16SLingrui98    is (3.U) {
985*02f21c16SLingrui98      XSError(true.B, "bpu_ftb_update_stall should be 0, 1 or 2")
986*02f21c16SLingrui98    }
987*02f21c16SLingrui98  }
98809c6f1ddSLingrui98
98909c6f1ddSLingrui98  io.toBpu.update := DontCare
99009c6f1ddSLingrui98  io.toBpu.update.valid := commit_valid && do_commit
99109c6f1ddSLingrui98  val update = io.toBpu.update.bits
99209c6f1ddSLingrui98  update.false_hit   := commit_hit === h_false_hit
99309c6f1ddSLingrui98  update.pc          := commit_pc_bundle.startAddr
99409c6f1ddSLingrui98  update.meta        := commit_meta.meta
9958ffcd86aSLingrui98  update.full_target := commit_target
996edc18578SLingrui98  update.from_stage  := commit_stage
99709c6f1ddSLingrui98  update.fromFtqRedirectSram(commit_spec_meta)
99809c6f1ddSLingrui98
99909c6f1ddSLingrui98  val commit_real_hit = commit_hit === h_hit
100009c6f1ddSLingrui98  val update_ftb_entry = update.ftb_entry
100109c6f1ddSLingrui98
100209c6f1ddSLingrui98  val ftbEntryGen = Module(new FTBEntryGen).io
100309c6f1ddSLingrui98  ftbEntryGen.start_addr     := commit_pc_bundle.startAddr
100409c6f1ddSLingrui98  ftbEntryGen.old_entry      := commit_ftb_entry
100509c6f1ddSLingrui98  ftbEntryGen.pd             := commit_pd
100609c6f1ddSLingrui98  ftbEntryGen.cfiIndex       := commit_cfi
100709c6f1ddSLingrui98  ftbEntryGen.target         := commit_target
100809c6f1ddSLingrui98  ftbEntryGen.hit            := commit_real_hit
100909c6f1ddSLingrui98  ftbEntryGen.mispredict_vec := commit_mispredict
101009c6f1ddSLingrui98
101109c6f1ddSLingrui98  update_ftb_entry         := ftbEntryGen.new_entry
101209c6f1ddSLingrui98  update.new_br_insert_pos := ftbEntryGen.new_br_insert_pos
101309c6f1ddSLingrui98  update.mispred_mask      := ftbEntryGen.mispred_mask
101409c6f1ddSLingrui98  update.old_entry         := ftbEntryGen.is_old_entry
1015edc18578SLingrui98  update.pred_hit          := commit_hit === h_hit || commit_hit === h_false_hit
1016b37e4b45SLingrui98
1017b37e4b45SLingrui98  update.is_minimal := false.B
1018b37e4b45SLingrui98  update.full_pred.fromFtbEntry(ftbEntryGen.new_entry, update.pc)
1019b37e4b45SLingrui98  update.full_pred.br_taken_mask  := ftbEntryGen.taken_mask
1020b37e4b45SLingrui98  update.full_pred.jalr_target := commit_target
1021b37e4b45SLingrui98  update.full_pred.hit := true.B
1022b37e4b45SLingrui98  when (update.full_pred.is_jalr) {
1023b37e4b45SLingrui98    update.full_pred.targets.last := commit_target
1024b37e4b45SLingrui98  }
102509c6f1ddSLingrui98
1026e30430c2SJay  // ****************************************************************
1027e30430c2SJay  // *********************** to prefetch ****************************
1028e30430c2SJay  // ****************************************************************
1029e30430c2SJay
1030e30430c2SJay  if(cacheParams.hasPrefetch){
1031e30430c2SJay    val prefetchPtr = RegInit(FtqPtr(false.B, 0.U))
1032e30430c2SJay    prefetchPtr := prefetchPtr + io.toPrefetch.req.fire()
1033e30430c2SJay
1034e30430c2SJay    when (bpu_s2_resp.valid && bpu_s2_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s2_resp.ftq_idx)) {
1035e30430c2SJay      prefetchPtr := bpu_s2_resp.ftq_idx
1036e30430c2SJay    }
1037e30430c2SJay
1038cb4f77ceSLingrui98    when (bpu_s3_resp.valid && bpu_s3_resp.hasRedirect && !isBefore(prefetchPtr, bpu_s3_resp.ftq_idx)) {
1039cb4f77ceSLingrui98      prefetchPtr := bpu_s3_resp.ftq_idx
1040a3c55791SJinYue      // XSError(true.B, "\ns3_redirect mechanism not implemented!\n")
1041cb4f77ceSLingrui98    }
1042de7689fcSJay
1043f63797a4SLingrui98
1044f63797a4SLingrui98    val prefetch_is_to_send = WireInit(entry_fetch_status(prefetchPtr.value) === f_to_send)
1045f63797a4SLingrui98    val prefetch_addr = WireInit(update_target(prefetchPtr.value))
1046f63797a4SLingrui98
1047f63797a4SLingrui98    when (last_cycle_bpu_in && bpu_in_bypass_ptr === prefetchPtr) {
1048f63797a4SLingrui98      prefetch_is_to_send := true.B
1049f63797a4SLingrui98      prefetch_addr := last_cycle_update_target
1050f63797a4SLingrui98    }
1051f63797a4SLingrui98    io.toPrefetch.req.valid := prefetchPtr =/= bpuPtr && prefetch_is_to_send
1052f63797a4SLingrui98    io.toPrefetch.req.bits.target := prefetch_addr
1053de7689fcSJay
1054de7689fcSJay    when(redirectVec.map(r => r.valid).reduce(_||_)){
1055de7689fcSJay      val r = PriorityMux(redirectVec.map(r => (r.valid -> r.bits)))
1056de7689fcSJay      val next = r.ftqIdx + 1.U
1057de7689fcSJay      prefetchPtr := next
1058de7689fcSJay    }
1059de7689fcSJay
1060de7689fcSJay    XSError(isBefore(bpuPtr, prefetchPtr) && !isFull(bpuPtr, prefetchPtr), "\nprefetchPtr is before bpuPtr!\n")
1061e8747464SJenius    XSError(isBefore(prefetchPtr, ifuPtr) && !isFull(ifuPtr, prefetchPtr), "\nifuPtr is before prefetchPtr!\n")
1062de7689fcSJay  }
1063de7689fcSJay  else {
1064de7689fcSJay    io.toPrefetch.req <> DontCare
1065de7689fcSJay  }
1066de7689fcSJay
106709c6f1ddSLingrui98  // ******************************************************************************
106809c6f1ddSLingrui98  // **************************** commit perf counters ****************************
106909c6f1ddSLingrui98  // ******************************************************************************
107009c6f1ddSLingrui98
107109c6f1ddSLingrui98  val commit_inst_mask    = VecInit(commit_state.map(c => c === c_commited && do_commit)).asUInt
107209c6f1ddSLingrui98  val commit_mispred_mask = commit_mispredict.asUInt
107309c6f1ddSLingrui98  val commit_not_mispred_mask = ~commit_mispred_mask
107409c6f1ddSLingrui98
107509c6f1ddSLingrui98  val commit_br_mask = commit_pd.brMask.asUInt
107609c6f1ddSLingrui98  val commit_jmp_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.jmpInfo.valid.asTypeOf(UInt(1.W)))
107709c6f1ddSLingrui98  val commit_cfi_mask = (commit_br_mask | commit_jmp_mask)
107809c6f1ddSLingrui98
107909c6f1ddSLingrui98  val mbpInstrs = commit_inst_mask & commit_cfi_mask
108009c6f1ddSLingrui98
108109c6f1ddSLingrui98  val mbpRights = mbpInstrs & commit_not_mispred_mask
108209c6f1ddSLingrui98  val mbpWrongs = mbpInstrs & commit_mispred_mask
108309c6f1ddSLingrui98
108409c6f1ddSLingrui98  io.bpuInfo.bpRight := PopCount(mbpRights)
108509c6f1ddSLingrui98  io.bpuInfo.bpWrong := PopCount(mbpWrongs)
108609c6f1ddSLingrui98
108709c6f1ddSLingrui98  // Cfi Info
108809c6f1ddSLingrui98  for (i <- 0 until PredictWidth) {
108909c6f1ddSLingrui98    val pc = commit_pc_bundle.startAddr + (i * instBytes).U
109009c6f1ddSLingrui98    val v = commit_state(i) === c_commited
109109c6f1ddSLingrui98    val isBr = commit_pd.brMask(i)
109209c6f1ddSLingrui98    val isJmp = commit_pd.jmpInfo.valid && commit_pd.jmpOffset === i.U
109309c6f1ddSLingrui98    val isCfi = isBr || isJmp
109409c6f1ddSLingrui98    val isTaken = commit_cfi.valid && commit_cfi.bits === i.U
109509c6f1ddSLingrui98    val misPred = commit_mispredict(i)
1096c2ad24ebSLingrui98    // val ghist = commit_spec_meta.ghist.predHist
1097c2ad24ebSLingrui98    val histPtr = commit_spec_meta.histPtr
109809c6f1ddSLingrui98    val predCycle = commit_meta.meta(63, 0)
109909c6f1ddSLingrui98    val target = commit_target
110009c6f1ddSLingrui98
110109c6f1ddSLingrui98    val brIdx = OHToUInt(Reverse(Cat(update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U})))
110209c6f1ddSLingrui98    val inFtbEntry = update_ftb_entry.brValids.zip(update_ftb_entry.brOffset).map{case(v, offset) => v && offset === i.U}.reduce(_||_)
110309c6f1ddSLingrui98    val addIntoHist = ((commit_hit === h_hit) && inFtbEntry) || ((!(commit_hit === h_hit) && i.U === commit_cfi.bits && isBr && commit_cfi.valid))
110409c6f1ddSLingrui98    XSDebug(v && do_commit && isCfi, p"cfi_update: isBr(${isBr}) pc(${Hexadecimal(pc)}) " +
1105c2ad24ebSLingrui98    p"taken(${isTaken}) mispred(${misPred}) cycle($predCycle) hist(${histPtr.value}) " +
110609c6f1ddSLingrui98    p"startAddr(${Hexadecimal(commit_pc_bundle.startAddr)}) AddIntoHist(${addIntoHist}) " +
110709c6f1ddSLingrui98    p"brInEntry(${inFtbEntry}) brIdx(${brIdx}) target(${Hexadecimal(target)})\n")
110809c6f1ddSLingrui98  }
110909c6f1ddSLingrui98
111009c6f1ddSLingrui98  val enq = io.fromBpu.resp
11112e1be6e1SSteve Gou  val perf_redirect = backendRedirect
111209c6f1ddSLingrui98
111309c6f1ddSLingrui98  XSPerfAccumulate("entry", validEntries)
111409c6f1ddSLingrui98  XSPerfAccumulate("bpu_to_ftq_stall", enq.valid && !enq.ready)
111509c6f1ddSLingrui98  XSPerfAccumulate("mispredictRedirect", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level)
111609c6f1ddSLingrui98  XSPerfAccumulate("replayRedirect", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level))
111709c6f1ddSLingrui98  XSPerfAccumulate("predecodeRedirect", fromIfuRedirect.valid)
111809c6f1ddSLingrui98
111909c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_bubble", io.toIfu.req.ready && !io.toIfu.req.valid)
112009c6f1ddSLingrui98
112109c6f1ddSLingrui98  XSPerfAccumulate("to_ifu_stall", io.toIfu.req.valid && !io.toIfu.req.ready)
112209c6f1ddSLingrui98  XSPerfAccumulate("from_bpu_real_bubble", !enq.valid && enq.ready && allowBpuIn)
112312cedb6fSLingrui98  XSPerfAccumulate("bpu_to_ifu_bubble", bpuPtr === ifuPtr)
112409c6f1ddSLingrui98
112509c6f1ddSLingrui98  val from_bpu = io.fromBpu.resp.bits
112609c6f1ddSLingrui98  def in_entry_len_map_gen(resp: BranchPredictionBundle)(stage: String) = {
1127b37e4b45SLingrui98    assert(!resp.is_minimal)
112809c6f1ddSLingrui98    val entry_len = (resp.ftb_entry.getFallThrough(resp.pc) - resp.pc) >> instOffsetBits
112909c6f1ddSLingrui98    val entry_len_recording_vec = (1 to PredictWidth+1).map(i => entry_len === i.U)
113009c6f1ddSLingrui98    val entry_len_map = (1 to PredictWidth+1).map(i =>
113109c6f1ddSLingrui98      f"${stage}_ftb_entry_len_$i" -> (entry_len_recording_vec(i-1) && resp.valid)
113209c6f1ddSLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
113309c6f1ddSLingrui98    entry_len_map
113409c6f1ddSLingrui98  }
113509c6f1ddSLingrui98  val s2_entry_len_map = in_entry_len_map_gen(from_bpu.s2)("s2")
1136cb4f77ceSLingrui98  val s3_entry_len_map = in_entry_len_map_gen(from_bpu.s3)("s3")
113709c6f1ddSLingrui98
113809c6f1ddSLingrui98  val to_ifu = io.toIfu.req.bits
113909c6f1ddSLingrui98
114009c6f1ddSLingrui98
114109c6f1ddSLingrui98
114209c6f1ddSLingrui98  val commit_num_inst_recording_vec = (1 to PredictWidth).map(i => PopCount(commit_inst_mask) === i.U)
114309c6f1ddSLingrui98  val commit_num_inst_map = (1 to PredictWidth).map(i =>
114409c6f1ddSLingrui98    f"commit_num_inst_$i" -> (commit_num_inst_recording_vec(i-1) && do_commit)
114509c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
114609c6f1ddSLingrui98
114709c6f1ddSLingrui98
114809c6f1ddSLingrui98
114909c6f1ddSLingrui98  val commit_jal_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJal.asTypeOf(UInt(1.W)))
115009c6f1ddSLingrui98  val commit_jalr_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasJalr.asTypeOf(UInt(1.W)))
115109c6f1ddSLingrui98  val commit_call_mask = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasCall.asTypeOf(UInt(1.W)))
115209c6f1ddSLingrui98  val commit_ret_mask  = UIntToOH(commit_pd.jmpOffset) & Fill(PredictWidth, commit_pd.hasRet.asTypeOf(UInt(1.W)))
115309c6f1ddSLingrui98
115409c6f1ddSLingrui98
115509c6f1ddSLingrui98  val mbpBRights = mbpRights & commit_br_mask
115609c6f1ddSLingrui98  val mbpJRights = mbpRights & commit_jal_mask
115709c6f1ddSLingrui98  val mbpIRights = mbpRights & commit_jalr_mask
115809c6f1ddSLingrui98  val mbpCRights = mbpRights & commit_call_mask
115909c6f1ddSLingrui98  val mbpRRights = mbpRights & commit_ret_mask
116009c6f1ddSLingrui98
116109c6f1ddSLingrui98  val mbpBWrongs = mbpWrongs & commit_br_mask
116209c6f1ddSLingrui98  val mbpJWrongs = mbpWrongs & commit_jal_mask
116309c6f1ddSLingrui98  val mbpIWrongs = mbpWrongs & commit_jalr_mask
116409c6f1ddSLingrui98  val mbpCWrongs = mbpWrongs & commit_call_mask
116509c6f1ddSLingrui98  val mbpRWrongs = mbpWrongs & commit_ret_mask
116609c6f1ddSLingrui98
11671d7e5011SLingrui98  val commit_pred_stage = RegNext(pred_stage(commPtr.value))
11681d7e5011SLingrui98
11691d7e5011SLingrui98  def pred_stage_map(src: UInt, name: String) = {
11701d7e5011SLingrui98    (0 until numBpStages).map(i =>
11711d7e5011SLingrui98      f"${name}_stage_${i+1}" -> PopCount(src.asBools.map(_ && commit_pred_stage === BP_STAGES(i)))
11721d7e5011SLingrui98    ).foldLeft(Map[String, UInt]())(_+_)
11731d7e5011SLingrui98  }
11741d7e5011SLingrui98
11751d7e5011SLingrui98  val mispred_stage_map      = pred_stage_map(mbpWrongs,  "mispredict")
11761d7e5011SLingrui98  val br_mispred_stage_map   = pred_stage_map(mbpBWrongs, "br_mispredict")
11771d7e5011SLingrui98  val jalr_mispred_stage_map = pred_stage_map(mbpIWrongs, "jalr_mispredict")
11781d7e5011SLingrui98  val correct_stage_map      = pred_stage_map(mbpRights,  "correct")
11791d7e5011SLingrui98  val br_correct_stage_map   = pred_stage_map(mbpBRights, "br_correct")
11801d7e5011SLingrui98  val jalr_correct_stage_map = pred_stage_map(mbpIRights, "jalr_correct")
11811d7e5011SLingrui98
118209c6f1ddSLingrui98  val update_valid = io.toBpu.update.valid
118309c6f1ddSLingrui98  def u(cond: Bool) = update_valid && cond
118409c6f1ddSLingrui98  val ftb_false_hit = u(update.false_hit)
118565fddcf0Szoujr  // assert(!ftb_false_hit)
118609c6f1ddSLingrui98  val ftb_hit = u(commit_hit === h_hit)
118709c6f1ddSLingrui98
118809c6f1ddSLingrui98  val ftb_new_entry = u(ftbEntryGen.is_init_entry)
1189b37e4b45SLingrui98  val ftb_new_entry_only_br = ftb_new_entry && !update_ftb_entry.jmpValid
1190b37e4b45SLingrui98  val ftb_new_entry_only_jmp = ftb_new_entry && !update_ftb_entry.brValids(0)
1191b37e4b45SLingrui98  val ftb_new_entry_has_br_and_jmp = ftb_new_entry && update_ftb_entry.brValids(0) && update_ftb_entry.jmpValid
119209c6f1ddSLingrui98
119309c6f1ddSLingrui98  val ftb_old_entry = u(ftbEntryGen.is_old_entry)
119409c6f1ddSLingrui98
119509c6f1ddSLingrui98  val ftb_modified_entry = u(ftbEntryGen.is_new_br || ftbEntryGen.is_jalr_target_modified || ftbEntryGen.is_always_taken_modified)
119609c6f1ddSLingrui98  val ftb_modified_entry_new_br = u(ftbEntryGen.is_new_br)
119709c6f1ddSLingrui98  val ftb_modified_entry_jalr_target_modified = u(ftbEntryGen.is_jalr_target_modified)
119809c6f1ddSLingrui98  val ftb_modified_entry_br_full = ftb_modified_entry && ftbEntryGen.is_br_full
119909c6f1ddSLingrui98  val ftb_modified_entry_always_taken = ftb_modified_entry && ftbEntryGen.is_always_taken_modified
120009c6f1ddSLingrui98
120109c6f1ddSLingrui98  val ftb_entry_len = (ftbEntryGen.new_entry.getFallThrough(update.pc) - update.pc) >> instOffsetBits
120209c6f1ddSLingrui98  val ftb_entry_len_recording_vec = (1 to PredictWidth+1).map(i => ftb_entry_len === i.U)
120309c6f1ddSLingrui98  val ftb_init_entry_len_map = (1 to PredictWidth+1).map(i =>
120409c6f1ddSLingrui98    f"ftb_init_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_new_entry)
120509c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
120609c6f1ddSLingrui98  val ftb_modified_entry_len_map = (1 to PredictWidth+1).map(i =>
120709c6f1ddSLingrui98    f"ftb_modified_entry_len_$i" -> (ftb_entry_len_recording_vec(i-1) && ftb_modified_entry)
120809c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
120909c6f1ddSLingrui98
121009c6f1ddSLingrui98  val ftq_occupancy_map = (0 to FtqSize).map(i =>
121109c6f1ddSLingrui98    f"ftq_has_entry_$i" ->( validEntries === i.U)
121209c6f1ddSLingrui98  ).foldLeft(Map[String, UInt]())(_+_)
121309c6f1ddSLingrui98
121409c6f1ddSLingrui98  val perfCountsMap = Map(
121509c6f1ddSLingrui98    "BpInstr" -> PopCount(mbpInstrs),
121609c6f1ddSLingrui98    "BpBInstr" -> PopCount(mbpBRights | mbpBWrongs),
121709c6f1ddSLingrui98    "BpRight"  -> PopCount(mbpRights),
121809c6f1ddSLingrui98    "BpWrong"  -> PopCount(mbpWrongs),
121909c6f1ddSLingrui98    "BpBRight" -> PopCount(mbpBRights),
122009c6f1ddSLingrui98    "BpBWrong" -> PopCount(mbpBWrongs),
122109c6f1ddSLingrui98    "BpJRight" -> PopCount(mbpJRights),
122209c6f1ddSLingrui98    "BpJWrong" -> PopCount(mbpJWrongs),
122309c6f1ddSLingrui98    "BpIRight" -> PopCount(mbpIRights),
122409c6f1ddSLingrui98    "BpIWrong" -> PopCount(mbpIWrongs),
122509c6f1ddSLingrui98    "BpCRight" -> PopCount(mbpCRights),
122609c6f1ddSLingrui98    "BpCWrong" -> PopCount(mbpCWrongs),
122709c6f1ddSLingrui98    "BpRRight" -> PopCount(mbpRRights),
122809c6f1ddSLingrui98    "BpRWrong" -> PopCount(mbpRWrongs),
122909c6f1ddSLingrui98
123009c6f1ddSLingrui98    "ftb_false_hit"                -> PopCount(ftb_false_hit),
123109c6f1ddSLingrui98    "ftb_hit"                      -> PopCount(ftb_hit),
123209c6f1ddSLingrui98    "ftb_new_entry"                -> PopCount(ftb_new_entry),
123309c6f1ddSLingrui98    "ftb_new_entry_only_br"        -> PopCount(ftb_new_entry_only_br),
123409c6f1ddSLingrui98    "ftb_new_entry_only_jmp"       -> PopCount(ftb_new_entry_only_jmp),
123509c6f1ddSLingrui98    "ftb_new_entry_has_br_and_jmp" -> PopCount(ftb_new_entry_has_br_and_jmp),
123609c6f1ddSLingrui98    "ftb_old_entry"                -> PopCount(ftb_old_entry),
123709c6f1ddSLingrui98    "ftb_modified_entry"           -> PopCount(ftb_modified_entry),
123809c6f1ddSLingrui98    "ftb_modified_entry_new_br"    -> PopCount(ftb_modified_entry_new_br),
123909c6f1ddSLingrui98    "ftb_jalr_target_modified"     -> PopCount(ftb_modified_entry_jalr_target_modified),
124009c6f1ddSLingrui98    "ftb_modified_entry_br_full"   -> PopCount(ftb_modified_entry_br_full),
124109c6f1ddSLingrui98    "ftb_modified_entry_always_taken" -> PopCount(ftb_modified_entry_always_taken)
12426d0e92edSLingrui98  ) ++ ftb_init_entry_len_map ++ ftb_modified_entry_len_map ++ s2_entry_len_map ++
1243cb4f77ceSLingrui98  s3_entry_len_map ++ commit_num_inst_map ++ ftq_occupancy_map ++
12441d7e5011SLingrui98  mispred_stage_map ++ br_mispred_stage_map ++ jalr_mispred_stage_map ++
12451d7e5011SLingrui98  correct_stage_map ++ br_correct_stage_map ++ jalr_correct_stage_map
124609c6f1ddSLingrui98
124709c6f1ddSLingrui98  for((key, value) <- perfCountsMap) {
124809c6f1ddSLingrui98    XSPerfAccumulate(key, value)
124909c6f1ddSLingrui98  }
125009c6f1ddSLingrui98
125109c6f1ddSLingrui98  // --------------------------- Debug --------------------------------
125209c6f1ddSLingrui98  // XSDebug(enq_fire, p"enq! " + io.fromBpu.resp.bits.toPrintable)
125309c6f1ddSLingrui98  XSDebug(io.toIfu.req.fire, p"fire to ifu " + io.toIfu.req.bits.toPrintable)
125409c6f1ddSLingrui98  XSDebug(do_commit, p"deq! [ptr] $do_commit_ptr\n")
125509c6f1ddSLingrui98  XSDebug(true.B, p"[bpuPtr] $bpuPtr, [ifuPtr] $ifuPtr, [ifuWbPtr] $ifuWbPtr [commPtr] $commPtr\n")
125609c6f1ddSLingrui98  XSDebug(true.B, p"[in] v:${io.fromBpu.resp.valid} r:${io.fromBpu.resp.ready} " +
125709c6f1ddSLingrui98    p"[out] v:${io.toIfu.req.valid} r:${io.toIfu.req.ready}\n")
125809c6f1ddSLingrui98  XSDebug(do_commit, p"[deq info] cfiIndex: $commit_cfi, $commit_pc_bundle, target: ${Hexadecimal(commit_target)}\n")
125909c6f1ddSLingrui98
126009c6f1ddSLingrui98  //   def ubtbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
126109c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
126209c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
126309c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
126409c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
126509c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
126609c6f1ddSLingrui98  //           !taken),
126709c6f1ddSLingrui98  //         !taken),
126809c6f1ddSLingrui98  //       false.B)
126909c6f1ddSLingrui98  //     }
127009c6f1ddSLingrui98  //   }
127109c6f1ddSLingrui98
127209c6f1ddSLingrui98  //   def btbCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
127309c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
127409c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
127509c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
127609c6f1ddSLingrui98  //         isWrong ^ Mux(ans.hit.asBool,
127709c6f1ddSLingrui98  //           Mux(ans.taken.asBool, taken && ans.target === commitEntry.target,
127809c6f1ddSLingrui98  //           !taken),
127909c6f1ddSLingrui98  //         !taken),
128009c6f1ddSLingrui98  //       false.B)
128109c6f1ddSLingrui98  //     }
128209c6f1ddSLingrui98  //   }
128309c6f1ddSLingrui98
128409c6f1ddSLingrui98  //   def tageCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
128509c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
128609c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
128709c6f1ddSLingrui98  //       Mux(valid && pd.isBr,
128809c6f1ddSLingrui98  //         isWrong ^ (ans.taken.asBool === taken),
128909c6f1ddSLingrui98  //       false.B)
129009c6f1ddSLingrui98  //     }
129109c6f1ddSLingrui98  //   }
129209c6f1ddSLingrui98
129309c6f1ddSLingrui98  //   def loopCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
129409c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
129509c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
129609c6f1ddSLingrui98  //       Mux(valid && (pd.isBr) && ans.hit.asBool,
129709c6f1ddSLingrui98  //         isWrong ^ (!taken),
129809c6f1ddSLingrui98  //           false.B)
129909c6f1ddSLingrui98  //     }
130009c6f1ddSLingrui98  //   }
130109c6f1ddSLingrui98
130209c6f1ddSLingrui98  //   def rasCheck(commit: FtqEntry, predAns: Seq[PredictorAnswer], isWrong: Bool) = {
130309c6f1ddSLingrui98  //     commit.valids.zip(commit.pd).zip(predAns).zip(commit.takens).map {
130409c6f1ddSLingrui98  //       case (((valid, pd), ans), taken) =>
130509c6f1ddSLingrui98  //       Mux(valid && pd.isRet.asBool /*&& taken*/ && ans.hit.asBool,
130609c6f1ddSLingrui98  //         isWrong ^ (ans.target === commitEntry.target),
130709c6f1ddSLingrui98  //           false.B)
130809c6f1ddSLingrui98  //     }
130909c6f1ddSLingrui98  //   }
131009c6f1ddSLingrui98
131109c6f1ddSLingrui98  //   val ubtbRights = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), false.B)
131209c6f1ddSLingrui98  //   val ubtbWrongs = ubtbCheck(commitEntry, commitEntry.metas.map(_.ubtbAns), true.B)
131309c6f1ddSLingrui98  //   // btb and ubtb pred jal and jalr as well
131409c6f1ddSLingrui98  //   val btbRights = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), false.B)
131509c6f1ddSLingrui98  //   val btbWrongs = btbCheck(commitEntry, commitEntry.metas.map(_.btbAns), true.B)
131609c6f1ddSLingrui98  //   val tageRights = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), false.B)
131709c6f1ddSLingrui98  //   val tageWrongs = tageCheck(commitEntry, commitEntry.metas.map(_.tageAns), true.B)
131809c6f1ddSLingrui98
131909c6f1ddSLingrui98  //   val loopRights = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), false.B)
132009c6f1ddSLingrui98  //   val loopWrongs = loopCheck(commitEntry, commitEntry.metas.map(_.loopAns), true.B)
132109c6f1ddSLingrui98
132209c6f1ddSLingrui98  //   val rasRights = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), false.B)
132309c6f1ddSLingrui98  //   val rasWrongs = rasCheck(commitEntry, commitEntry.metas.map(_.rasAns), true.B)
13241ca0e4f3SYinan Xu
1325cd365d4cSrvcoresjw  val perfEvents = Seq(
1326cd365d4cSrvcoresjw    ("bpu_s2_redirect        ", bpu_s2_redirect                                                             ),
1327cb4f77ceSLingrui98    ("bpu_s3_redirect        ", bpu_s3_redirect                                                             ),
1328cd365d4cSrvcoresjw    ("bpu_to_ftq_stall       ", enq.valid && ~enq.ready                                                     ),
1329cd365d4cSrvcoresjw    ("mispredictRedirect     ", perf_redirect.valid && RedirectLevel.flushAfter === perf_redirect.bits.level),
1330cd365d4cSrvcoresjw    ("replayRedirect         ", perf_redirect.valid && RedirectLevel.flushItself(perf_redirect.bits.level)  ),
1331cd365d4cSrvcoresjw    ("predecodeRedirect      ", fromIfuRedirect.valid                                                       ),
1332cd365d4cSrvcoresjw    ("to_ifu_bubble          ", io.toIfu.req.ready && !io.toIfu.req.valid                                   ),
1333cd365d4cSrvcoresjw    ("from_bpu_real_bubble   ", !enq.valid && enq.ready && allowBpuIn                                       ),
1334cd365d4cSrvcoresjw    ("BpInstr                ", PopCount(mbpInstrs)                                                         ),
1335cd365d4cSrvcoresjw    ("BpBInstr               ", PopCount(mbpBRights | mbpBWrongs)                                           ),
1336cd365d4cSrvcoresjw    ("BpRight                ", PopCount(mbpRights)                                                         ),
1337cd365d4cSrvcoresjw    ("BpWrong                ", PopCount(mbpWrongs)                                                         ),
1338cd365d4cSrvcoresjw    ("BpBRight               ", PopCount(mbpBRights)                                                        ),
1339cd365d4cSrvcoresjw    ("BpBWrong               ", PopCount(mbpBWrongs)                                                        ),
1340cd365d4cSrvcoresjw    ("BpJRight               ", PopCount(mbpJRights)                                                        ),
1341cd365d4cSrvcoresjw    ("BpJWrong               ", PopCount(mbpJWrongs)                                                        ),
1342cd365d4cSrvcoresjw    ("BpIRight               ", PopCount(mbpIRights)                                                        ),
1343cd365d4cSrvcoresjw    ("BpIWrong               ", PopCount(mbpIWrongs)                                                        ),
1344cd365d4cSrvcoresjw    ("BpCRight               ", PopCount(mbpCRights)                                                        ),
1345cd365d4cSrvcoresjw    ("BpCWrong               ", PopCount(mbpCWrongs)                                                        ),
1346cd365d4cSrvcoresjw    ("BpRRight               ", PopCount(mbpRRights)                                                        ),
1347cd365d4cSrvcoresjw    ("BpRWrong               ", PopCount(mbpRWrongs)                                                        ),
1348cd365d4cSrvcoresjw    ("ftb_false_hit          ", PopCount(ftb_false_hit)                                                     ),
1349cd365d4cSrvcoresjw    ("ftb_hit                ", PopCount(ftb_hit)                                                           ),
1350cd365d4cSrvcoresjw  )
13511ca0e4f3SYinan Xu  generatePerfEvent()
135209c6f1ddSLingrui98}
1353