109c6f1ddSLingrui98/*************************************************************************************** 209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory 409c6f1ddSLingrui98* 509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2. 609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2. 709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at: 809c6f1ddSLingrui98* http://license.coscl.org.cn/MulanPSL2 909c6f1ddSLingrui98* 1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 1309c6f1ddSLingrui98* 1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details. 1509c6f1ddSLingrui98***************************************************************************************/ 1609c6f1ddSLingrui98 1709c6f1ddSLingrui98package xiangshan.frontend 1809c6f1ddSLingrui98 1909c6f1ddSLingrui98import chipsalliance.rocketchip.config.Parameters 2009c6f1ddSLingrui98import chisel3._ 2109c6f1ddSLingrui98import chisel3.stage.{ChiselGeneratorAnnotation, ChiselStage} 2209c6f1ddSLingrui98import chisel3.util._ 2309c6f1ddSLingrui98import xiangshan._ 2409c6f1ddSLingrui98import utils._ 2509c6f1ddSLingrui98import chisel3.experimental.chiselName 2609c6f1ddSLingrui98 2709c6f1ddSLingrui98import scala.math.min 2809c6f1ddSLingrui98 2909c6f1ddSLingrui98 3009c6f1ddSLingrui98trait FTBParams extends HasXSParameter with HasBPUConst { 31ba4cf515SLingrui98 val numEntries = 4096 3209c6f1ddSLingrui98 val numWays = 4 3309c6f1ddSLingrui98 val numSets = numEntries/numWays // 512 3409c6f1ddSLingrui98 val tagSize = 20 3509c6f1ddSLingrui98 3609c6f1ddSLingrui98 val TAR_STAT_SZ = 2 3709c6f1ddSLingrui98 def TAR_FIT = 0.U(TAR_STAT_SZ.W) 3809c6f1ddSLingrui98 def TAR_OVF = 1.U(TAR_STAT_SZ.W) 3909c6f1ddSLingrui98 def TAR_UDF = 2.U(TAR_STAT_SZ.W) 4009c6f1ddSLingrui98 4109c6f1ddSLingrui98 def BR_OFFSET_LEN = 13 4209c6f1ddSLingrui98 def JMP_OFFSET_LEN = 21 4309c6f1ddSLingrui98} 4409c6f1ddSLingrui98 4509c6f1ddSLingrui98class FTBEntry(implicit p: Parameters) extends XSBundle with FTBParams with BPUUtils { 4609c6f1ddSLingrui98 val valid = Bool() 4709c6f1ddSLingrui98 4809c6f1ddSLingrui98 val brOffset = Vec(numBr, UInt(log2Up(FetchWidth*2).W)) 4909c6f1ddSLingrui98 val brLowers = Vec(numBr, UInt(BR_OFFSET_LEN.W)) 5009c6f1ddSLingrui98 val brTarStats = Vec(numBr, UInt(TAR_STAT_SZ.W)) 5109c6f1ddSLingrui98 val brValids = Vec(numBr, Bool()) 5209c6f1ddSLingrui98 5309c6f1ddSLingrui98 val jmpOffset = UInt(log2Ceil(PredictWidth).W) 5409c6f1ddSLingrui98 val jmpLower = UInt(JMP_OFFSET_LEN.W) 5509c6f1ddSLingrui98 val jmpTarStat = UInt(TAR_STAT_SZ.W) 5609c6f1ddSLingrui98 val jmpValid = Bool() 5709c6f1ddSLingrui98 5809c6f1ddSLingrui98 // Partial Fall-Through Address 5909c6f1ddSLingrui98 val pftAddr = UInt((log2Up(PredictWidth)+1).W) 6009c6f1ddSLingrui98 val carry = Bool() 6109c6f1ddSLingrui98 6209c6f1ddSLingrui98 val isCall = Bool() 6309c6f1ddSLingrui98 val isRet = Bool() 6409c6f1ddSLingrui98 val isJalr = Bool() 6509c6f1ddSLingrui98 6609c6f1ddSLingrui98 val oversize = Bool() 6709c6f1ddSLingrui98 6809c6f1ddSLingrui98 val last_is_rvc = Bool() 6909c6f1ddSLingrui98 7009c6f1ddSLingrui98 val always_taken = Vec(numBr, Bool()) 7109c6f1ddSLingrui98 7209c6f1ddSLingrui98 def getTarget(offsetLen: Int)(pc: UInt, lower: UInt, stat: UInt) = { 7309c6f1ddSLingrui98 val higher = pc(VAddrBits-1, offsetLen) 7409c6f1ddSLingrui98 Cat( 7509c6f1ddSLingrui98 Mux(stat === TAR_OVF, higher+1.U, 7609c6f1ddSLingrui98 Mux(stat === TAR_UDF, higher-1.U, higher)), 7709c6f1ddSLingrui98 lower 7809c6f1ddSLingrui98 ) 7909c6f1ddSLingrui98 } 8009c6f1ddSLingrui98 val getBrTarget = getTarget(BR_OFFSET_LEN)(_, _, _) 8109c6f1ddSLingrui98 8209c6f1ddSLingrui98 def getBrTargets(pc: UInt) = { 8309c6f1ddSLingrui98 VecInit((brLowers zip brTarStats).map{ 8409c6f1ddSLingrui98 case (lower, stat) => getBrTarget(pc, lower, stat) 8509c6f1ddSLingrui98 }) 8609c6f1ddSLingrui98 } 8709c6f1ddSLingrui98 8809c6f1ddSLingrui98 def getJmpTarget(pc: UInt) = getTarget(JMP_OFFSET_LEN)(pc, jmpLower, jmpTarStat) 8909c6f1ddSLingrui98 9009c6f1ddSLingrui98 def getLowerStatByTarget(offsetLen: Int)(pc: UInt, target: UInt) = { 9109c6f1ddSLingrui98 val pc_higher = pc(VAddrBits-1, offsetLen) 927f36ad77Szoujr val target_higher = target(VAddrBits-1, offsetLen) 9309c6f1ddSLingrui98 val stat = WireInit(Mux(target_higher > pc_higher, TAR_OVF, 9409c6f1ddSLingrui98 Mux(target_higher < pc_higher, TAR_UDF, TAR_FIT))) 9509c6f1ddSLingrui98 val lower = WireInit(target(offsetLen-1, 0)) 9609c6f1ddSLingrui98 (lower, stat) 9709c6f1ddSLingrui98 } 9809c6f1ddSLingrui98 def getBrLowerStatByTarget(pc: UInt, target: UInt) = getLowerStatByTarget(BR_OFFSET_LEN)(pc, target) 9909c6f1ddSLingrui98 def getJmpLowerStatByTarget(pc: UInt, target: UInt) = getLowerStatByTarget(JMP_OFFSET_LEN)(pc, target) 10009c6f1ddSLingrui98 def setByBrTarget(brIdx: Int, pc: UInt, target: UInt) = { 10109c6f1ddSLingrui98 val (lower, stat) = getBrLowerStatByTarget(pc, target) 10209c6f1ddSLingrui98 this.brLowers(brIdx) := lower 10309c6f1ddSLingrui98 this.brTarStats(brIdx) := stat 10409c6f1ddSLingrui98 } 10509c6f1ddSLingrui98 def setByJmpTarget(pc: UInt, target: UInt) = { 10609c6f1ddSLingrui98 val (lower, stat) = getJmpLowerStatByTarget(pc, target) 10709c6f1ddSLingrui98 this.jmpLower := lower 10809c6f1ddSLingrui98 this.jmpTarStat := stat 10909c6f1ddSLingrui98 } 11009c6f1ddSLingrui98 11109c6f1ddSLingrui98 11209c6f1ddSLingrui98 def getOffsetVec = VecInit(brOffset :+ jmpOffset) 11309c6f1ddSLingrui98 def isJal = !isJalr 11409c6f1ddSLingrui98 def getFallThrough(pc: UInt) = getFallThroughAddr(pc, carry, pftAddr) 11509c6f1ddSLingrui98 def hasBr(offset: UInt) = (brValids zip brOffset).map{ 11609c6f1ddSLingrui98 case (v, off) => v && off <= offset 11709c6f1ddSLingrui98 }.reduce(_||_) 11809c6f1ddSLingrui98 11909c6f1ddSLingrui98 def getBrMaskByOffset(offset: UInt) = (brValids zip brOffset).map{ 12009c6f1ddSLingrui98 case (v, off) => v && off <= offset 12109c6f1ddSLingrui98 } 12209c6f1ddSLingrui98 12309c6f1ddSLingrui98 def brIsSaved(offset: UInt) = (brValids zip brOffset).map{ 12409c6f1ddSLingrui98 case (v, off) => v && off === offset 12509c6f1ddSLingrui98 }.reduce(_||_) 12609c6f1ddSLingrui98 def display(cond: Bool): Unit = { 12709c6f1ddSLingrui98 XSDebug(cond, p"-----------FTB entry----------- \n") 12809c6f1ddSLingrui98 XSDebug(cond, p"v=${valid}\n") 12909c6f1ddSLingrui98 for(i <- 0 until numBr) { 13009c6f1ddSLingrui98 XSDebug(cond, p"[br$i]: v=${brValids(i)}, offset=${brOffset(i)}, lower=${Hexadecimal(brLowers(i))}\n") 13109c6f1ddSLingrui98 } 13209c6f1ddSLingrui98 XSDebug(cond, p"[jmp]: v=${jmpValid}, offset=${jmpOffset}, lower=${Hexadecimal(jmpLower)}\n") 13309c6f1ddSLingrui98 XSDebug(cond, p"pftAddr=${Hexadecimal(pftAddr)}, carry=$carry\n") 13409c6f1ddSLingrui98 XSDebug(cond, p"isCall=$isCall, isRet=$isRet, isjalr=$isJalr\n") 13509c6f1ddSLingrui98 XSDebug(cond, p"oversize=$oversize, last_is_rvc=$last_is_rvc\n") 13609c6f1ddSLingrui98 XSDebug(cond, p"------------------------------- \n") 13709c6f1ddSLingrui98 } 13809c6f1ddSLingrui98 13909c6f1ddSLingrui98} 14009c6f1ddSLingrui98 14109c6f1ddSLingrui98class FTBEntryWithTag(implicit p: Parameters) extends XSBundle with FTBParams with BPUUtils { 14209c6f1ddSLingrui98 val entry = new FTBEntry 14309c6f1ddSLingrui98 val tag = UInt(tagSize.W) 14409c6f1ddSLingrui98 def display(cond: Bool): Unit = { 14509c6f1ddSLingrui98 XSDebug(cond, p"-----------FTB entry----------- \n") 14609c6f1ddSLingrui98 XSDebug(cond, p"v=${entry.valid}, tag=${Hexadecimal(tag)}\n") 14709c6f1ddSLingrui98 for(i <- 0 until numBr) { 14809c6f1ddSLingrui98 XSDebug(cond, p"[br$i]: v=${entry.brValids(i)}, offset=${entry.brOffset(i)}, lower=${Hexadecimal(entry.brLowers(i))}\n") 14909c6f1ddSLingrui98 } 15009c6f1ddSLingrui98 XSDebug(cond, p"[jmp]: v=${entry.jmpValid}, offset=${entry.jmpOffset}, lower=${Hexadecimal(entry.jmpLower)}\n") 15109c6f1ddSLingrui98 XSDebug(cond, p"pftAddr=${Hexadecimal(entry.pftAddr)}, carry=${entry.carry}\n") 15209c6f1ddSLingrui98 XSDebug(cond, p"isCall=${entry.isCall}, isRet=${entry.isRet}, isjalr=${entry.isJalr}\n") 15309c6f1ddSLingrui98 XSDebug(cond, p"oversize=${entry.oversize}, last_is_rvc=${entry.last_is_rvc}\n") 15409c6f1ddSLingrui98 XSDebug(cond, p"------------------------------- \n") 15509c6f1ddSLingrui98 } 15609c6f1ddSLingrui98} 15709c6f1ddSLingrui98 15809c6f1ddSLingrui98class FTBMeta(implicit p: Parameters) extends XSBundle with FTBParams { 159bb09c7feSzoujr val writeWay = UInt(log2Ceil(numWays).W) 16009c6f1ddSLingrui98 val hit = Bool() 16109c6f1ddSLingrui98 val pred_cycle = UInt(64.W) // TODO: Use Option 16209c6f1ddSLingrui98} 16309c6f1ddSLingrui98 16409c6f1ddSLingrui98object FTBMeta { 16509c6f1ddSLingrui98 def apply(writeWay: UInt, hit: Bool, pred_cycle: UInt)(implicit p: Parameters): FTBMeta = { 16609c6f1ddSLingrui98 val e = Wire(new FTBMeta) 16709c6f1ddSLingrui98 e.writeWay := writeWay 16809c6f1ddSLingrui98 e.hit := hit 16909c6f1ddSLingrui98 e.pred_cycle := pred_cycle 17009c6f1ddSLingrui98 e 17109c6f1ddSLingrui98 } 17209c6f1ddSLingrui98} 17309c6f1ddSLingrui98 174*c6bf0bffSzoujr// class UpdateQueueEntry(implicit p: Parameters) extends XSBundle with FTBParams { 175*c6bf0bffSzoujr// val pc = UInt(VAddrBits.W) 176*c6bf0bffSzoujr// val ftb_entry = new FTBEntry 177*c6bf0bffSzoujr// val hit = Bool() 178*c6bf0bffSzoujr// val hit_way = UInt(log2Ceil(numWays).W) 179*c6bf0bffSzoujr// } 180*c6bf0bffSzoujr// 181*c6bf0bffSzoujr// object UpdateQueueEntry { 182*c6bf0bffSzoujr// def apply(pc: UInt, fe: FTBEntry, hit: Bool, hit_way: UInt)(implicit p: Parameters): UpdateQueueEntry = { 183*c6bf0bffSzoujr// val e = Wire(new UpdateQueueEntry) 184*c6bf0bffSzoujr// e.pc := pc 185*c6bf0bffSzoujr// e.ftb_entry := fe 186*c6bf0bffSzoujr// e.hit := hit 187*c6bf0bffSzoujr// e.hit_way := hit_way 188*c6bf0bffSzoujr// e 189*c6bf0bffSzoujr// } 190*c6bf0bffSzoujr// } 191*c6bf0bffSzoujr 192*c6bf0bffSzoujrclass FTB(implicit p: Parameters) extends BasePredictor with FTBParams with BPUUtils with HasCircularQueuePtrHelper { 19309c6f1ddSLingrui98 override val meta_size = WireInit(0.U.asTypeOf(new FTBMeta)).getWidth 19409c6f1ddSLingrui98 19509c6f1ddSLingrui98 val ftbAddr = new TableAddr(log2Up(numSets), 1) 19609c6f1ddSLingrui98 19709c6f1ddSLingrui98 class FTBBank(val numSets: Int, val nWays: Int) extends XSModule with BPUUtils { 19809c6f1ddSLingrui98 val io = IO(new Bundle { 19909c6f1ddSLingrui98 val req_pc = Flipped(DecoupledIO(UInt(VAddrBits.W))) 20009c6f1ddSLingrui98 val read_resp = Output(new FTBEntry) 20109c6f1ddSLingrui98 20209c6f1ddSLingrui98 // when ftb hit, read_hits.valid is true, and read_hits.bits is OH of hit way 20309c6f1ddSLingrui98 // when ftb not hit, read_hits.valid is false, and read_hits is OH of allocWay 204bb09c7feSzoujr // val read_hits = Valid(Vec(numWays, Bool())) 205bb09c7feSzoujr val read_hits = Valid(UInt(log2Ceil(numWays).W)) 20609c6f1ddSLingrui98 20709c6f1ddSLingrui98 val update_pc = Input(UInt(VAddrBits.W)) 20809c6f1ddSLingrui98 val update_write_data = Flipped(Valid(new FTBEntryWithTag)) 209*c6bf0bffSzoujr val update_write_way = Input(UInt(log2Ceil(numWays).W)) 210*c6bf0bffSzoujr val update_write_alloc = Input(Bool()) 211*c6bf0bffSzoujr val update_access = Input(Bool()) 21209c6f1ddSLingrui98 }) 21309c6f1ddSLingrui98 21409c6f1ddSLingrui98 val ftb = Module(new SRAMTemplate(new FTBEntryWithTag, set = numSets, way = numWays, shouldReset = true, holdRead = true, singlePort = true)) 21509c6f1ddSLingrui98 21609c6f1ddSLingrui98 ftb.io.r.req.valid := io.req_pc.valid // io.s0_fire 21709c6f1ddSLingrui98 ftb.io.r.req.bits.setIdx := ftbAddr.getIdx(io.req_pc.bits) // s0_idx 21809c6f1ddSLingrui98 21909c6f1ddSLingrui98 io.req_pc.ready := ftb.io.r.req.ready 22009c6f1ddSLingrui98 22109c6f1ddSLingrui98 val req_tag = RegEnable(ftbAddr.getTag(io.req_pc.bits)(tagSize-1, 0), io.req_pc.valid) 222ac3f6f25Szoujr val req_idx = RegEnable(ftbAddr.getIdx(io.req_pc.bits), io.req_pc.valid) 22309c6f1ddSLingrui98 22409c6f1ddSLingrui98 val read_entries = ftb.io.r.resp.data.map(_.entry) 22509c6f1ddSLingrui98 val read_tags = ftb.io.r.resp.data.map(_.tag) 22609c6f1ddSLingrui98 227ac3f6f25Szoujr val total_hits = VecInit((0 until numWays).map(b => read_tags(b) === req_tag && read_entries(b).valid && RegNext(io.req_pc.valid))) 22809c6f1ddSLingrui98 val hit = total_hits.reduce(_||_) 229bb09c7feSzoujr // val hit_way_1h = VecInit(PriorityEncoderOH(total_hits)) 230bb09c7feSzoujr val hit_way = PriorityEncoder(total_hits) 231bb09c7feSzoujr 232bb09c7feSzoujr assert(PopCount(total_hits) === 1.U || PopCount(total_hits) === 0.U) 233bb09c7feSzoujr 234bb09c7feSzoujr val multiple_hit_recording_vec = (0 to numWays).map(i => PopCount(total_hits) === i.U) 235bb09c7feSzoujr val multiple_hit_map = (0 to numWays).map(i => 236bb09c7feSzoujr f"ftb_multiple_hit_$i" -> (multiple_hit_recording_vec(i) && RegNext(io.req_pc.valid)) 237bb09c7feSzoujr ).foldLeft(Map[String, UInt]())(_+_) 238bb09c7feSzoujr 239bb09c7feSzoujr for ((key, value) <- multiple_hit_map) { 240bb09c7feSzoujr XSPerfAccumulate(key, value) 241bb09c7feSzoujr } 24209c6f1ddSLingrui98 243ac3f6f25Szoujr val replacer = ReplacementPolicy.fromString(Some("setplru"), numWays, numSets) 244*c6bf0bffSzoujr // val allocWriteWay = replacer.way(req_idx) 24509c6f1ddSLingrui98 246ac3f6f25Szoujr val touch_set = Seq.fill(1)(Wire(UInt(log2Ceil(numSets).W))) 247ac3f6f25Szoujr val touch_way = Seq.fill(1)(Wire(Valid(UInt(log2Ceil(numWays).W)))) 248ac3f6f25Szoujr 249ac3f6f25Szoujr touch_set(0) := req_idx 250ac3f6f25Szoujr 251*c6bf0bffSzoujr touch_way(0).valid := hit && !io.update_access 252bb09c7feSzoujr touch_way(0).bits := hit_way 253ac3f6f25Szoujr 254*c6bf0bffSzoujr replacer.access(touch_set, touch_way) 255*c6bf0bffSzoujr 256ac3f6f25Szoujr // def allocWay(valids: UInt, meta_tags: UInt, req_tag: UInt) = { 257ac3f6f25Szoujr // val randomAlloc = false 258ac3f6f25Szoujr // if (numWays > 1) { 259ac3f6f25Szoujr // val w = Wire(UInt(log2Up(numWays).W)) 260ac3f6f25Szoujr // val valid = WireInit(valids.andR) 261ac3f6f25Szoujr // val tags = Cat(meta_tags, req_tag) 262ac3f6f25Szoujr // val l = log2Up(numWays) 263ac3f6f25Szoujr // val nChunks = (tags.getWidth + l - 1) / l 264ac3f6f25Szoujr // val chunks = (0 until nChunks).map( i => 265ac3f6f25Szoujr // tags(min((i+1)*l, tags.getWidth)-1, i*l) 266ac3f6f25Szoujr // ) 267ac3f6f25Szoujr // w := Mux(valid, if (randomAlloc) {LFSR64()(log2Up(numWays)-1,0)} else {chunks.reduce(_^_)}, PriorityEncoder(~valids)) 268ac3f6f25Szoujr // w 269ac3f6f25Szoujr // } else { 270ac3f6f25Szoujr // val w = WireInit(0.U) 271ac3f6f25Szoujr // w 272ac3f6f25Szoujr // } 273ac3f6f25Szoujr // } 274ac3f6f25Szoujr 275ac3f6f25Szoujr // val allocWriteWay = allocWay( 276ac3f6f25Szoujr // VecInit(read_entries.map(_.valid)).asUInt, 277ac3f6f25Szoujr // VecInit(read_tags).asUInt, 278ac3f6f25Szoujr // req_tag 279ac3f6f25Szoujr // ) 28009c6f1ddSLingrui98 28109c6f1ddSLingrui98 io.read_resp := PriorityMux(total_hits, read_entries) // Mux1H 28209c6f1ddSLingrui98 io.read_hits.valid := hit 283bb09c7feSzoujr // io.read_hits.bits := Mux(hit, hit_way_1h, VecInit(UIntToOH(allocWriteWay).asBools())) 284*c6bf0bffSzoujr io.read_hits.bits := Mux(hit, hit_way, 0.U) 28509c6f1ddSLingrui98 286*c6bf0bffSzoujr // XSDebug(!hit, "FTB not hit, alloc a way: %d\n", allocWriteWay) 287ac3f6f25Szoujr 28809c6f1ddSLingrui98 // Update logic 28909c6f1ddSLingrui98 val u_valid = io.update_write_data.valid 29009c6f1ddSLingrui98 val u_data = io.update_write_data.bits 29109c6f1ddSLingrui98 val u_idx = ftbAddr.getIdx(io.update_pc) 292*c6bf0bffSzoujr val u_mask = UIntToOH(Mux(io.update_write_alloc, replacer.way(u_idx), io.update_write_way)) 293*c6bf0bffSzoujr 294*c6bf0bffSzoujr for (i <- 0 until numWays) { 295*c6bf0bffSzoujr XSPerfAccumulate(f"replace_way$i", io.update_write_alloc && OHToUInt(u_mask) === i.U) 296*c6bf0bffSzoujr } 29709c6f1ddSLingrui98 29809c6f1ddSLingrui98 ftb.io.w.apply(u_valid, u_data, u_idx, u_mask) 29909c6f1ddSLingrui98 } // FTBBank 30009c6f1ddSLingrui98 30109c6f1ddSLingrui98 val ftbBank = Module(new FTBBank(numSets, numWays)) 30209c6f1ddSLingrui98 30309c6f1ddSLingrui98 ftbBank.io.req_pc.valid := io.s0_fire 30409c6f1ddSLingrui98 ftbBank.io.req_pc.bits := s0_pc 30509c6f1ddSLingrui98 30609c6f1ddSLingrui98 val ftb_entry = RegEnable(ftbBank.io.read_resp, io.s1_fire) 30709c6f1ddSLingrui98 val s1_hit = ftbBank.io.read_hits.valid 30809c6f1ddSLingrui98 val s2_hit = RegEnable(s1_hit, io.s1_fire) 30909c6f1ddSLingrui98 val writeWay = ftbBank.io.read_hits.bits 31009c6f1ddSLingrui98 31109c6f1ddSLingrui98 val fallThruAddr = getFallThroughAddr(s2_pc, ftb_entry.carry, ftb_entry.pftAddr) 31209c6f1ddSLingrui98 31309c6f1ddSLingrui98 // io.out.bits.resp := RegEnable(io.in.bits.resp_in(0), 0.U.asTypeOf(new BranchPredictionResp), io.s1_fire) 31409c6f1ddSLingrui98 io.out.resp := io.in.bits.resp_in(0) 31509c6f1ddSLingrui98 31609c6f1ddSLingrui98 val s1_latch_call_is_rvc = DontCare // TODO: modify when add RAS 31709c6f1ddSLingrui98 31809c6f1ddSLingrui98 io.out.resp.s2.preds.taken_mask := io.in.bits.resp_in(0).s2.preds.taken_mask 31909c6f1ddSLingrui98 for (i <- 0 until numBr) { 32009c6f1ddSLingrui98 when (ftb_entry.always_taken(i)) { 32109c6f1ddSLingrui98 io.out.resp.s2.preds.taken_mask(i) := true.B 32209c6f1ddSLingrui98 } 32309c6f1ddSLingrui98 } 32409c6f1ddSLingrui98 32509c6f1ddSLingrui98 io.out.resp.s2.preds.hit := s2_hit 32609c6f1ddSLingrui98 io.out.resp.s2.pc := s2_pc 32709c6f1ddSLingrui98 io.out.resp.s2.ftb_entry := ftb_entry 32809c6f1ddSLingrui98 io.out.resp.s2.preds.fromFtbEntry(ftb_entry, s2_pc) 32909c6f1ddSLingrui98 330bb09c7feSzoujr io.out.s3_meta := RegEnable(RegEnable(FTBMeta(writeWay, s1_hit, GTimer()).asUInt(), io.s1_fire), io.s2_fire) 33109c6f1ddSLingrui98 33209c6f1ddSLingrui98 when(s2_hit) { 33309c6f1ddSLingrui98 io.out.resp.s2.ftb_entry.pftAddr := ftb_entry.pftAddr 33409c6f1ddSLingrui98 io.out.resp.s2.ftb_entry.carry := ftb_entry.carry 33509c6f1ddSLingrui98 }.otherwise { 33609c6f1ddSLingrui98 io.out.resp.s2.ftb_entry.pftAddr := s2_pc(instOffsetBits + log2Ceil(PredictWidth), instOffsetBits) ^ (1 << log2Ceil(PredictWidth)).U 33709c6f1ddSLingrui98 io.out.resp.s2.ftb_entry.carry := s2_pc(instOffsetBits + log2Ceil(PredictWidth)).asBool 33809c6f1ddSLingrui98 io.out.resp.s2.ftb_entry.oversize := false.B 33909c6f1ddSLingrui98 } 34009c6f1ddSLingrui98 34109c6f1ddSLingrui98 // always taken logic 34209c6f1ddSLingrui98 when (s2_hit) { 34309c6f1ddSLingrui98 for (i <- 0 until numBr) { 34409c6f1ddSLingrui98 when (ftb_entry.always_taken(i)) { 34509c6f1ddSLingrui98 io.out.resp.s2.preds.taken_mask(i) := true.B 34609c6f1ddSLingrui98 } 34709c6f1ddSLingrui98 } 34809c6f1ddSLingrui98 } 34909c6f1ddSLingrui98 35009c6f1ddSLingrui98 // Update logic 35109c6f1ddSLingrui98 val update = RegNext(io.update.bits) 35209c6f1ddSLingrui98 353*c6bf0bffSzoujr // val update_queue = Mem(64, new UpdateQueueEntry) 354*c6bf0bffSzoujr // val head, tail = RegInit(UpdateQueuePtr(false.B, 0.U)) 355*c6bf0bffSzoujr // val u_queue = Module(new Queue(new UpdateQueueEntry, entries = 64, flow = true)) 356*c6bf0bffSzoujr // assert(u_queue.io.count < 64.U) 357*c6bf0bffSzoujr 35809c6f1ddSLingrui98 val u_meta = update.meta.asTypeOf(new FTBMeta) 35909c6f1ddSLingrui98 val u_valid = RegNext(io.update.valid && !io.update.bits.old_entry) 360bb09c7feSzoujr 361*c6bf0bffSzoujr // io.s1_ready := ftbBank.io.req_pc.ready && u_queue.io.count === 0.U && !u_valid 362*c6bf0bffSzoujr io.s1_ready := ftbBank.io.req_pc.ready && !(u_valid && !u_meta.hit) 363bb09c7feSzoujr 364*c6bf0bffSzoujr // val update_now = u_queue.io.deq.fire && u_queue.io.deq.bits.hit 365*c6bf0bffSzoujr val update_now = u_valid && u_meta.hit 366*c6bf0bffSzoujr 367*c6bf0bffSzoujr when(u_valid && !u_meta.hit) { 368bb09c7feSzoujr ftbBank.io.req_pc.valid := true.B 369bb09c7feSzoujr ftbBank.io.req_pc.bits := update.pc 370bb09c7feSzoujr } 371bb09c7feSzoujr 372*c6bf0bffSzoujr // assert(!(u_valid && RegNext(u_valid) && update.pc === RegNext(update.pc))) 373*c6bf0bffSzoujr assert(!(u_valid && RegNext(u_valid))) 374bb09c7feSzoujr 375*c6bf0bffSzoujr // val u_way = u_queue.io.deq.bits.hit_way 37609c6f1ddSLingrui98 37709c6f1ddSLingrui98 val ftb_write = Wire(new FTBEntryWithTag) 378*c6bf0bffSzoujr // ftb_write.entry := Mux(update_now, u_queue.io.deq.bits.ftb_entry, RegNext(u_queue.io.deq.bits.ftb_entry)) 379*c6bf0bffSzoujr // ftb_write.tag := ftbAddr.getTag(Mux(update_now, u_queue.io.deq.bits.pc, RegNext(u_queue.io.deq.bits.pc)))(tagSize-1, 0) 380*c6bf0bffSzoujr ftb_write.entry := Mux(update_now, update.ftb_entry, RegNext(update.ftb_entry)) 381*c6bf0bffSzoujr ftb_write.tag := ftbAddr.getTag(Mux(update_now, update.pc, RegNext(update.pc)))(tagSize-1, 0) 38209c6f1ddSLingrui98 383*c6bf0bffSzoujr // val write_valid = update_now || RegNext(u_queue.io.deq.fire && !u_queue.io.deq.bits.hit) 384*c6bf0bffSzoujr val write_valid = update_now || RegNext(u_valid && !u_meta.hit) 385*c6bf0bffSzoujr 386*c6bf0bffSzoujr // u_queue.io.enq.valid := u_valid 387*c6bf0bffSzoujr // u_queue.io.enq.bits := UpdateQueueEntry(update.pc, update.ftb_entry, u_meta.hit, u_meta.writeWay) 388*c6bf0bffSzoujr // u_queue.io.deq.ready := RegNext(!u_queue.io.deq.fire || update_now) 389*c6bf0bffSzoujr 390*c6bf0bffSzoujr ftbBank.io.update_write_data.valid := write_valid 391*c6bf0bffSzoujr ftbBank.io.update_write_data.bits := ftb_write 392*c6bf0bffSzoujr // ftbBank.io.update_pc := Mux(update_now, u_queue.io.deq.bits.pc, RegNext(u_queue.io.deq.bits.pc)) 393*c6bf0bffSzoujr ftbBank.io.update_pc := Mux(update_now, update.pc, RegNext(update.pc)) 394*c6bf0bffSzoujr ftbBank.io.update_write_way := Mux(update_now, u_meta.writeWay, ftbBank.io.read_hits.bits) 395*c6bf0bffSzoujr // ftbBank.io.update_write_alloc := Mux(update_now, !u_queue.io.deq.bits.hit, !ftbBank.io.read_hits.valid) 396*c6bf0bffSzoujr ftbBank.io.update_write_alloc := Mux(update_now, false.B, !ftbBank.io.read_hits.valid) 397*c6bf0bffSzoujr ftbBank.io.update_access := u_valid && !u_meta.hit 39809c6f1ddSLingrui98 39909c6f1ddSLingrui98 XSDebug("req_v=%b, req_pc=%x, ready=%b (resp at next cycle)\n", io.s0_fire, s0_pc, ftbBank.io.req_pc.ready) 40009c6f1ddSLingrui98 XSDebug("s2_hit=%b, hit_way=%b\n", s2_hit, writeWay.asUInt) 40109c6f1ddSLingrui98 XSDebug("s2_taken_mask=%b, s2_real_taken_mask=%b\n", 40209c6f1ddSLingrui98 io.in.bits.resp_in(0).s2.preds.taken_mask.asUInt, io.out.resp.s2.real_taken_mask().asUInt) 40309c6f1ddSLingrui98 XSDebug("s2_target=%x\n", io.out.resp.s2.target) 40409c6f1ddSLingrui98 40509c6f1ddSLingrui98 ftb_entry.display(true.B) 40609c6f1ddSLingrui98 407*c6bf0bffSzoujr // XSDebug(u_valid, "Update from ftq\n") 408*c6bf0bffSzoujr // XSDebug(u_valid, "update_pc=%x, tag=%x, pred_cycle=%d\n", 409*c6bf0bffSzoujr // update.pc, ftbAddr.getTag(update.pc), u_meta.pred_cycle) 410*c6bf0bffSzoujr // XSDebug(RegNext(u_valid), "Write into FTB\n") 411*c6bf0bffSzoujr // XSDebug(RegNext(u_valid), "hit=%d, update_write_way=%d\n", 412*c6bf0bffSzoujr // ftbBank.io.read_hits.valid, u_meta.writeWay) 41309c6f1ddSLingrui98 41409c6f1ddSLingrui98 41509c6f1ddSLingrui98 41609c6f1ddSLingrui98 41709c6f1ddSLingrui98 41809c6f1ddSLingrui98 XSPerfAccumulate("ftb_read_hits", RegNext(io.s0_fire) && s1_hit) 41909c6f1ddSLingrui98 XSPerfAccumulate("ftb_read_misses", RegNext(io.s0_fire) && !s1_hit) 42009c6f1ddSLingrui98 421*c6bf0bffSzoujr XSPerfAccumulate("ftb_commit_hits", io.update.valid && io.update.bits.preds.hit) 422*c6bf0bffSzoujr XSPerfAccumulate("ftb_commit_misses", io.update.valid && !io.update.bits.preds.hit) 42309c6f1ddSLingrui98 42409c6f1ddSLingrui98 XSPerfAccumulate("ftb_update_req", io.update.valid) 42509c6f1ddSLingrui98 XSPerfAccumulate("ftb_update_ignored", io.update.valid && io.update.bits.old_entry) 42609c6f1ddSLingrui98 XSPerfAccumulate("ftb_updated", u_valid) 42709c6f1ddSLingrui98} 428