xref: /XiangShan/src/main/scala/xiangshan/frontend/FTB.scala (revision b37e4b45da2333608f12413931aecdaef46443e4)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
1509c6f1ddSLingrui98***************************************************************************************/
1609c6f1ddSLingrui98
1709c6f1ddSLingrui98package xiangshan.frontend
1809c6f1ddSLingrui98
1909c6f1ddSLingrui98import chipsalliance.rocketchip.config.Parameters
2009c6f1ddSLingrui98import chisel3._
2109c6f1ddSLingrui98import chisel3.stage.{ChiselGeneratorAnnotation, ChiselStage}
2209c6f1ddSLingrui98import chisel3.util._
2309c6f1ddSLingrui98import xiangshan._
2409c6f1ddSLingrui98import utils._
2509c6f1ddSLingrui98import chisel3.experimental.chiselName
2609c6f1ddSLingrui98
2709c6f1ddSLingrui98import scala.math.min
28eeb5ff92SLingrui98import os.copy
2909c6f1ddSLingrui98
3009c6f1ddSLingrui98
3109c6f1ddSLingrui98trait FTBParams extends HasXSParameter with HasBPUConst {
32*b37e4b45SLingrui98  val numEntries = FtbSize
33*b37e4b45SLingrui98  val numWays    = FtbWays
3409c6f1ddSLingrui98  val numSets    = numEntries/numWays // 512
3509c6f1ddSLingrui98  val tagSize    = 20
3609c6f1ddSLingrui98
37eeb5ff92SLingrui98
38eeb5ff92SLingrui98
3909c6f1ddSLingrui98  val TAR_STAT_SZ = 2
4009c6f1ddSLingrui98  def TAR_FIT = 0.U(TAR_STAT_SZ.W)
4109c6f1ddSLingrui98  def TAR_OVF = 1.U(TAR_STAT_SZ.W)
4209c6f1ddSLingrui98  def TAR_UDF = 2.U(TAR_STAT_SZ.W)
4309c6f1ddSLingrui98
44bf358e08SLingrui98  def BR_OFFSET_LEN = 12
45bf358e08SLingrui98  def JMP_OFFSET_LEN = 20
4609c6f1ddSLingrui98}
4709c6f1ddSLingrui98
48b30c10d6SLingrui98class FtbSlot(val offsetLen: Int, val subOffsetLen: Option[Int] = None)(implicit p: Parameters) extends XSBundle with FTBParams {
49b30c10d6SLingrui98  if (subOffsetLen.isDefined) {
50b30c10d6SLingrui98    require(subOffsetLen.get <= offsetLen)
51b30c10d6SLingrui98  }
52eeb5ff92SLingrui98  val offset  = UInt(log2Ceil(PredictWidth).W)
53eeb5ff92SLingrui98  val lower   = UInt(offsetLen.W)
54eeb5ff92SLingrui98  val tarStat = UInt(TAR_STAT_SZ.W)
55eeb5ff92SLingrui98  val sharing = Bool()
5609c6f1ddSLingrui98  val valid   = Bool()
5709c6f1ddSLingrui98
58eeb5ff92SLingrui98  def setLowerStatByTarget(pc: UInt, target: UInt, isShare: Boolean) = {
59eeb5ff92SLingrui98    def getTargetStatByHigher(pc_higher: UInt, target_higher: UInt) =
60eeb5ff92SLingrui98      Mux(target_higher > pc_higher, TAR_OVF,
61eeb5ff92SLingrui98        Mux(target_higher < pc_higher, TAR_UDF, TAR_FIT))
62eeb5ff92SLingrui98    def getLowerByTarget(target: UInt, offsetLen: Int) = target(offsetLen, 1)
63b30c10d6SLingrui98    val offLen = if (isShare) this.subOffsetLen.get else this.offsetLen
64eeb5ff92SLingrui98    val pc_higher = pc(VAddrBits-1, offLen+1)
65eeb5ff92SLingrui98    val target_higher = target(VAddrBits-1, offLen+1)
66eeb5ff92SLingrui98    val stat = getTargetStatByHigher(pc_higher, target_higher)
67eeb5ff92SLingrui98    val lower = ZeroExt(getLowerByTarget(target, offLen), this.offsetLen)
68eeb5ff92SLingrui98    this.lower := lower
69eeb5ff92SLingrui98    this.tarStat := stat
70eeb5ff92SLingrui98    this.sharing := isShare.B
71eeb5ff92SLingrui98  }
7209c6f1ddSLingrui98
73b30c10d6SLingrui98  def getTarget(pc: UInt, last_stage: Option[Tuple2[UInt, Bool]] = None) = {
74b30c10d6SLingrui98    def getTarget(offLen: Int)(pc: UInt, lower: UInt, stat: UInt,
75b30c10d6SLingrui98      last_stage: Option[Tuple2[UInt, Bool]] = None) = {
76b30c10d6SLingrui98      val h = pc(VAddrBits-1, offLen+1)
77b30c10d6SLingrui98      val higher = Wire(UInt((VAddrBits-offLen-1).W))
78b30c10d6SLingrui98      val higher_plus_one = Wire(UInt((VAddrBits-offLen-1).W))
79b30c10d6SLingrui98      val higher_minus_one = Wire(UInt((VAddrBits-offLen-1).W))
80b30c10d6SLingrui98      if (last_stage.isDefined) {
81b30c10d6SLingrui98        val last_stage_pc = last_stage.get._1
82b30c10d6SLingrui98        val last_stage_pc_h = last_stage_pc(VAddrBits-1, offLen+1)
83b30c10d6SLingrui98        val stage_en = last_stage.get._2
84b30c10d6SLingrui98        higher := RegEnable(last_stage_pc_h, stage_en)
85b30c10d6SLingrui98        higher_plus_one := RegEnable(last_stage_pc_h+1.U, stage_en)
86b30c10d6SLingrui98        higher_minus_one := RegEnable(last_stage_pc_h-1.U, stage_en)
87b30c10d6SLingrui98      } else {
88b30c10d6SLingrui98        higher := h
89b30c10d6SLingrui98        higher_plus_one := h + 1.U
90b30c10d6SLingrui98        higher_minus_one := h - 1.U
91b30c10d6SLingrui98      }
92eeb5ff92SLingrui98      val target =
93eeb5ff92SLingrui98        Cat(
94b30c10d6SLingrui98          Mux1H(Seq(
95b30c10d6SLingrui98            (stat === TAR_OVF, higher_plus_one),
96b30c10d6SLingrui98            (stat === TAR_UDF, higher_minus_one),
97b30c10d6SLingrui98            (stat === TAR_FIT, higher),
98b30c10d6SLingrui98          )),
99eeb5ff92SLingrui98          lower(offLen-1, 0), 0.U(1.W)
100eeb5ff92SLingrui98        )
101eeb5ff92SLingrui98      require(target.getWidth == VAddrBits)
102eeb5ff92SLingrui98      require(offLen != 0)
103eeb5ff92SLingrui98      target
104eeb5ff92SLingrui98    }
105b30c10d6SLingrui98    if (subOffsetLen.isDefined)
106eeb5ff92SLingrui98      Mux(sharing,
107b30c10d6SLingrui98        getTarget(subOffsetLen.get)(pc, lower, tarStat, last_stage),
108b30c10d6SLingrui98        getTarget(offsetLen)(pc, lower, tarStat, last_stage)
109eeb5ff92SLingrui98      )
110eeb5ff92SLingrui98    else
111b30c10d6SLingrui98      getTarget(offsetLen)(pc, lower, tarStat, last_stage)
112eeb5ff92SLingrui98  }
113eeb5ff92SLingrui98  def fromAnotherSlot(that: FtbSlot) = {
114eeb5ff92SLingrui98    require(
115b30c10d6SLingrui98      this.offsetLen > that.offsetLen && this.subOffsetLen.map(_ == that.offsetLen).getOrElse(true) ||
116eeb5ff92SLingrui98      this.offsetLen == that.offsetLen
117eeb5ff92SLingrui98    )
118eeb5ff92SLingrui98    this.offset := that.offset
119eeb5ff92SLingrui98    this.tarStat := that.tarStat
120b30c10d6SLingrui98    this.sharing := (this.offsetLen > that.offsetLen && that.offsetLen == this.subOffsetLen.get).B
121eeb5ff92SLingrui98    this.valid := that.valid
122eeb5ff92SLingrui98    this.lower := ZeroExt(that.lower, this.offsetLen)
123eeb5ff92SLingrui98  }
124eeb5ff92SLingrui98
125eeb5ff92SLingrui98}
126eeb5ff92SLingrui98
127eeb5ff92SLingrui98class FTBEntry(implicit p: Parameters) extends XSBundle with FTBParams with BPUUtils {
128eeb5ff92SLingrui98
129eeb5ff92SLingrui98
130eeb5ff92SLingrui98  val valid       = Bool()
131eeb5ff92SLingrui98
132eeb5ff92SLingrui98  val brSlots = Vec(numBrSlot, new FtbSlot(BR_OFFSET_LEN))
133eeb5ff92SLingrui98
134b30c10d6SLingrui98  val tailSlot = new FtbSlot(JMP_OFFSET_LEN, Some(BR_OFFSET_LEN))
13509c6f1ddSLingrui98
13609c6f1ddSLingrui98  // Partial Fall-Through Address
13709c6f1ddSLingrui98  val pftAddr     = UInt((log2Up(PredictWidth)+1).W)
13809c6f1ddSLingrui98  val carry       = Bool()
13909c6f1ddSLingrui98
14009c6f1ddSLingrui98  val isCall      = Bool()
14109c6f1ddSLingrui98  val isRet       = Bool()
14209c6f1ddSLingrui98  val isJalr      = Bool()
14309c6f1ddSLingrui98
144eeb5ff92SLingrui98  //
14509c6f1ddSLingrui98  val oversize    = Bool()
14609c6f1ddSLingrui98
14709c6f1ddSLingrui98  val last_is_rvc = Bool()
14809c6f1ddSLingrui98
14909c6f1ddSLingrui98  val always_taken = Vec(numBr, Bool())
15009c6f1ddSLingrui98
151eeb5ff92SLingrui98  def getSlotForBr(idx: Int): FtbSlot = {
152*b37e4b45SLingrui98    require(idx <= numBr-1)
153*b37e4b45SLingrui98    (idx, numBr) match {
154*b37e4b45SLingrui98      case (i, n) if i == n-1 => this.tailSlot
155eeb5ff92SLingrui98      case _ => this.brSlots(idx)
15609c6f1ddSLingrui98    }
15709c6f1ddSLingrui98  }
158eeb5ff92SLingrui98  def allSlotsForBr = {
159eeb5ff92SLingrui98    (0 until numBr).map(getSlotForBr(_))
16009c6f1ddSLingrui98  }
16109c6f1ddSLingrui98  def setByBrTarget(brIdx: Int, pc: UInt, target: UInt) = {
162eeb5ff92SLingrui98    val slot = getSlotForBr(brIdx)
163*b37e4b45SLingrui98    slot.setLowerStatByTarget(pc, target, brIdx == numBr-1)
16409c6f1ddSLingrui98  }
16509c6f1ddSLingrui98  def setByJmpTarget(pc: UInt, target: UInt) = {
166eeb5ff92SLingrui98    this.tailSlot.setLowerStatByTarget(pc, target, false)
16709c6f1ddSLingrui98  }
16809c6f1ddSLingrui98
169b30c10d6SLingrui98  def getTargetVec(pc: UInt, last_stage: Option[Tuple2[UInt, Bool]] = None) = {
170b30c10d6SLingrui98    VecInit((brSlots :+ tailSlot).map(_.getTarget(pc, last_stage)))
171bf358e08SLingrui98  }
17209c6f1ddSLingrui98
173eeb5ff92SLingrui98  def getOffsetVec = VecInit(brSlots.map(_.offset) :+ tailSlot.offset)
17409c6f1ddSLingrui98  def isJal = !isJalr
17509c6f1ddSLingrui98  def getFallThrough(pc: UInt) = getFallThroughAddr(pc, carry, pftAddr)
176eeb5ff92SLingrui98  def hasBr(offset: UInt) =
177eeb5ff92SLingrui98    brSlots.map{ s => s.valid && s.offset <= offset}.reduce(_||_) ||
178*b37e4b45SLingrui98    (tailSlot.valid && tailSlot.offset <= offset && tailSlot.sharing)
17909c6f1ddSLingrui98
180eeb5ff92SLingrui98  def getBrMaskByOffset(offset: UInt) =
181*b37e4b45SLingrui98    brSlots.map{ s => s.valid && s.offset <= offset } :+
182*b37e4b45SLingrui98    (tailSlot.valid && tailSlot.offset <= offset && tailSlot.sharing)
183eeb5ff92SLingrui98
184eeb5ff92SLingrui98  def getBrRecordedVec(offset: UInt) = {
185eeb5ff92SLingrui98    VecInit(
186*b37e4b45SLingrui98      brSlots.map(s => s.valid && s.offset === offset) :+
187*b37e4b45SLingrui98      (tailSlot.valid && tailSlot.offset === offset && tailSlot.sharing)
188eeb5ff92SLingrui98    )
18909c6f1ddSLingrui98  }
19009c6f1ddSLingrui98
191eeb5ff92SLingrui98  def brIsSaved(offset: UInt) = getBrRecordedVec(offset).reduce(_||_)
192eeb5ff92SLingrui98
193eeb5ff92SLingrui98  def brValids = {
194eeb5ff92SLingrui98    VecInit(
195*b37e4b45SLingrui98      brSlots.map(_.valid) :+ (tailSlot.valid && tailSlot.sharing)
196eeb5ff92SLingrui98    )
197eeb5ff92SLingrui98  }
198eeb5ff92SLingrui98
199eeb5ff92SLingrui98  def noEmptySlotForNewBr = {
200*b37e4b45SLingrui98    VecInit(brSlots.map(_.valid) :+ tailSlot.valid).reduce(_&&_)
201eeb5ff92SLingrui98  }
202eeb5ff92SLingrui98
203eeb5ff92SLingrui98  def newBrCanNotInsert(offset: UInt) = {
204*b37e4b45SLingrui98    val lastSlotForBr = tailSlot
205eeb5ff92SLingrui98    lastSlotForBr.valid && lastSlotForBr.offset < offset
206eeb5ff92SLingrui98  }
207eeb5ff92SLingrui98
208eeb5ff92SLingrui98  def jmpValid = {
209*b37e4b45SLingrui98    tailSlot.valid && !tailSlot.sharing
210eeb5ff92SLingrui98  }
211eeb5ff92SLingrui98
212eeb5ff92SLingrui98  def brOffset = {
213*b37e4b45SLingrui98    VecInit(brSlots.map(_.offset) :+ tailSlot.offset)
214eeb5ff92SLingrui98  }
215eeb5ff92SLingrui98
21609c6f1ddSLingrui98  def display(cond: Bool): Unit = {
21709c6f1ddSLingrui98    XSDebug(cond, p"-----------FTB entry----------- \n")
21809c6f1ddSLingrui98    XSDebug(cond, p"v=${valid}\n")
21909c6f1ddSLingrui98    for(i <- 0 until numBr) {
220eeb5ff92SLingrui98      XSDebug(cond, p"[br$i]: v=${allSlotsForBr(i).valid}, offset=${allSlotsForBr(i).offset}," +
221eeb5ff92SLingrui98        p"lower=${Hexadecimal(allSlotsForBr(i).lower)}\n")
22209c6f1ddSLingrui98    }
223eeb5ff92SLingrui98    XSDebug(cond, p"[tailSlot]: v=${tailSlot.valid}, offset=${tailSlot.offset}," +
224eeb5ff92SLingrui98      p"lower=${Hexadecimal(tailSlot.lower)}, sharing=${tailSlot.sharing}}\n")
22509c6f1ddSLingrui98    XSDebug(cond, p"pftAddr=${Hexadecimal(pftAddr)}, carry=$carry\n")
22609c6f1ddSLingrui98    XSDebug(cond, p"isCall=$isCall, isRet=$isRet, isjalr=$isJalr\n")
22709c6f1ddSLingrui98    XSDebug(cond, p"oversize=$oversize, last_is_rvc=$last_is_rvc\n")
22809c6f1ddSLingrui98    XSDebug(cond, p"------------------------------- \n")
22909c6f1ddSLingrui98  }
23009c6f1ddSLingrui98
23109c6f1ddSLingrui98}
23209c6f1ddSLingrui98
23309c6f1ddSLingrui98class FTBEntryWithTag(implicit p: Parameters) extends XSBundle with FTBParams with BPUUtils {
23409c6f1ddSLingrui98  val entry = new FTBEntry
23509c6f1ddSLingrui98  val tag = UInt(tagSize.W)
23609c6f1ddSLingrui98  def display(cond: Bool): Unit = {
237eeb5ff92SLingrui98    entry.display(cond)
238eeb5ff92SLingrui98    XSDebug(cond, p"tag is ${Hexadecimal(tag)}\n------------------------------- \n")
23909c6f1ddSLingrui98  }
24009c6f1ddSLingrui98}
24109c6f1ddSLingrui98
24209c6f1ddSLingrui98class FTBMeta(implicit p: Parameters) extends XSBundle with FTBParams {
243bb09c7feSzoujr  val writeWay = UInt(log2Ceil(numWays).W)
24409c6f1ddSLingrui98  val hit = Bool()
2451bc6e9c8SLingrui98  val pred_cycle = if (!env.FPGAPlatform) Some(UInt(64.W)) else None
24609c6f1ddSLingrui98}
24709c6f1ddSLingrui98
24809c6f1ddSLingrui98object FTBMeta {
24909c6f1ddSLingrui98  def apply(writeWay: UInt, hit: Bool, pred_cycle: UInt)(implicit p: Parameters): FTBMeta = {
25009c6f1ddSLingrui98    val e = Wire(new FTBMeta)
25109c6f1ddSLingrui98    e.writeWay := writeWay
25209c6f1ddSLingrui98    e.hit := hit
2531bc6e9c8SLingrui98    e.pred_cycle.map(_ := pred_cycle)
25409c6f1ddSLingrui98    e
25509c6f1ddSLingrui98  }
25609c6f1ddSLingrui98}
25709c6f1ddSLingrui98
258c6bf0bffSzoujr// class UpdateQueueEntry(implicit p: Parameters) extends XSBundle with FTBParams {
259c6bf0bffSzoujr//   val pc = UInt(VAddrBits.W)
260c6bf0bffSzoujr//   val ftb_entry = new FTBEntry
261c6bf0bffSzoujr//   val hit = Bool()
262c6bf0bffSzoujr//   val hit_way = UInt(log2Ceil(numWays).W)
263c6bf0bffSzoujr// }
264c6bf0bffSzoujr//
265c6bf0bffSzoujr// object UpdateQueueEntry {
266c6bf0bffSzoujr//   def apply(pc: UInt, fe: FTBEntry, hit: Bool, hit_way: UInt)(implicit p: Parameters): UpdateQueueEntry = {
267c6bf0bffSzoujr//     val e = Wire(new UpdateQueueEntry)
268c6bf0bffSzoujr//     e.pc := pc
269c6bf0bffSzoujr//     e.ftb_entry := fe
270c6bf0bffSzoujr//     e.hit := hit
271c6bf0bffSzoujr//     e.hit_way := hit_way
272c6bf0bffSzoujr//     e
273c6bf0bffSzoujr//   }
274c6bf0bffSzoujr// }
275c6bf0bffSzoujr
2761ca0e4f3SYinan Xuclass FTB(implicit p: Parameters) extends BasePredictor with FTBParams with BPUUtils
2771ca0e4f3SYinan Xu  with HasCircularQueuePtrHelper with HasPerfEvents {
27809c6f1ddSLingrui98  override val meta_size = WireInit(0.U.asTypeOf(new FTBMeta)).getWidth
27909c6f1ddSLingrui98
28009c6f1ddSLingrui98  val ftbAddr = new TableAddr(log2Up(numSets), 1)
28109c6f1ddSLingrui98
28209c6f1ddSLingrui98  class FTBBank(val numSets: Int, val nWays: Int) extends XSModule with BPUUtils {
28309c6f1ddSLingrui98    val io = IO(new Bundle {
2845371700eSzoujr      val s1_fire = Input(Bool())
28509c6f1ddSLingrui98
28609c6f1ddSLingrui98      // when ftb hit, read_hits.valid is true, and read_hits.bits is OH of hit way
28709c6f1ddSLingrui98      // when ftb not hit, read_hits.valid is false, and read_hits is OH of allocWay
288bb09c7feSzoujr      // val read_hits = Valid(Vec(numWays, Bool()))
2891c8d9e26Szoujr      val req_pc = Flipped(DecoupledIO(UInt(VAddrBits.W)))
2901c8d9e26Szoujr      val read_resp = Output(new FTBEntry)
291bb09c7feSzoujr      val read_hits = Valid(UInt(log2Ceil(numWays).W))
29209c6f1ddSLingrui98
2931c8d9e26Szoujr      val u_req_pc = Flipped(DecoupledIO(UInt(VAddrBits.W)))
2941c8d9e26Szoujr      val update_hits = Valid(UInt(log2Ceil(numWays).W))
2951c8d9e26Szoujr      val update_access = Input(Bool())
29609c6f1ddSLingrui98
29709c6f1ddSLingrui98      val update_pc = Input(UInt(VAddrBits.W))
29809c6f1ddSLingrui98      val update_write_data = Flipped(Valid(new FTBEntryWithTag))
299c6bf0bffSzoujr      val update_write_way = Input(UInt(log2Ceil(numWays).W))
300c6bf0bffSzoujr      val update_write_alloc = Input(Bool())
30109c6f1ddSLingrui98    })
30209c6f1ddSLingrui98
3031c8d9e26Szoujr    // Extract holdRead logic to fix bug that update read override predict read result
3041c8d9e26Szoujr    val ftb = Module(new SRAMTemplate(new FTBEntryWithTag, set = numSets, way = numWays, shouldReset = true, holdRead = false, singlePort = true))
30509c6f1ddSLingrui98
3061c8d9e26Szoujr    val pred_rdata   = HoldUnless(ftb.io.r.resp.data, RegNext(io.req_pc.valid && !io.update_access))
3071c8d9e26Szoujr    ftb.io.r.req.valid := io.req_pc.valid || io.u_req_pc.valid // io.s0_fire
3081c8d9e26Szoujr    ftb.io.r.req.bits.setIdx := Mux(io.u_req_pc.valid, ftbAddr.getIdx(io.u_req_pc.bits), ftbAddr.getIdx(io.req_pc.bits)) // s0_idx
3091c8d9e26Szoujr
3101c8d9e26Szoujr    assert(!(io.req_pc.valid && io.u_req_pc.valid))
31109c6f1ddSLingrui98
31209c6f1ddSLingrui98    io.req_pc.ready := ftb.io.r.req.ready
3131c8d9e26Szoujr    io.u_req_pc.ready := ftb.io.r.req.ready
31409c6f1ddSLingrui98
31509c6f1ddSLingrui98    val req_tag = RegEnable(ftbAddr.getTag(io.req_pc.bits)(tagSize-1, 0), io.req_pc.valid)
316ac3f6f25Szoujr    val req_idx = RegEnable(ftbAddr.getIdx(io.req_pc.bits), io.req_pc.valid)
31709c6f1ddSLingrui98
3181c8d9e26Szoujr    val u_req_tag = RegEnable(ftbAddr.getTag(io.u_req_pc.bits)(tagSize-1, 0), io.u_req_pc.valid)
31909c6f1ddSLingrui98
3201c8d9e26Szoujr    val read_entries = pred_rdata.map(_.entry)
3211c8d9e26Szoujr    val read_tags    = pred_rdata.map(_.tag)
3221c8d9e26Szoujr
3231c8d9e26Szoujr    val total_hits = VecInit((0 until numWays).map(b => read_tags(b) === req_tag && read_entries(b).valid && io.s1_fire))
32409c6f1ddSLingrui98    val hit = total_hits.reduce(_||_)
325bb09c7feSzoujr    // val hit_way_1h = VecInit(PriorityEncoderOH(total_hits))
326ab890bfeSLingrui98    val hit_way = OHToUInt(total_hits)
32709c6f1ddSLingrui98
3281c8d9e26Szoujr    val u_total_hits = VecInit((0 until numWays).map(b =>
3291c8d9e26Szoujr        ftb.io.r.resp.data(b).tag === u_req_tag && ftb.io.r.resp.data(b).entry.valid && RegNext(io.update_access)))
3301c8d9e26Szoujr    val u_hit = u_total_hits.reduce(_||_)
3311c8d9e26Szoujr    // val hit_way_1h = VecInit(PriorityEncoderOH(total_hits))
332ab890bfeSLingrui98    val u_hit_way = OHToUInt(u_total_hits)
3331c8d9e26Szoujr
334bb09c7feSzoujr    assert(PopCount(total_hits) === 1.U || PopCount(total_hits) === 0.U)
3351c8d9e26Szoujr    assert(PopCount(u_total_hits) === 1.U || PopCount(u_total_hits) === 0.U)
33609c6f1ddSLingrui98
337ac3f6f25Szoujr    val replacer = ReplacementPolicy.fromString(Some("setplru"), numWays, numSets)
338c6bf0bffSzoujr    // val allocWriteWay = replacer.way(req_idx)
33909c6f1ddSLingrui98
340ac3f6f25Szoujr    val touch_set = Seq.fill(1)(Wire(UInt(log2Ceil(numSets).W)))
341ac3f6f25Szoujr    val touch_way = Seq.fill(1)(Wire(Valid(UInt(log2Ceil(numWays).W))))
342ac3f6f25Szoujr
343ac3f6f25Szoujr    touch_set(0) := req_idx
344ac3f6f25Szoujr
3451c8d9e26Szoujr    touch_way(0).valid := hit
346bb09c7feSzoujr    touch_way(0).bits := hit_way
347ac3f6f25Szoujr
348c6bf0bffSzoujr    replacer.access(touch_set, touch_way)
349c6bf0bffSzoujr
350ac3f6f25Szoujr    // def allocWay(valids: UInt, meta_tags: UInt, req_tag: UInt) = {
351ac3f6f25Szoujr    //   val randomAlloc = false
352ac3f6f25Szoujr    //   if (numWays > 1) {
353ac3f6f25Szoujr    //     val w = Wire(UInt(log2Up(numWays).W))
354ac3f6f25Szoujr    //     val valid = WireInit(valids.andR)
355ac3f6f25Szoujr    //     val tags = Cat(meta_tags, req_tag)
356ac3f6f25Szoujr    //     val l = log2Up(numWays)
357ac3f6f25Szoujr    //     val nChunks = (tags.getWidth + l - 1) / l
358ac3f6f25Szoujr    //     val chunks = (0 until nChunks).map( i =>
359ac3f6f25Szoujr    //       tags(min((i+1)*l, tags.getWidth)-1, i*l)
360ac3f6f25Szoujr    //     )
361ac3f6f25Szoujr    //     w := Mux(valid, if (randomAlloc) {LFSR64()(log2Up(numWays)-1,0)} else {chunks.reduce(_^_)}, PriorityEncoder(~valids))
362ac3f6f25Szoujr    //     w
363ac3f6f25Szoujr    //   } else {
364ac3f6f25Szoujr    //     val w = WireInit(0.U)
365ac3f6f25Szoujr    //     w
366ac3f6f25Szoujr    //   }
367ac3f6f25Szoujr    // }
368ac3f6f25Szoujr
369ac3f6f25Szoujr    // val allocWriteWay = allocWay(
370ac3f6f25Szoujr    //   VecInit(read_entries.map(_.valid)).asUInt,
371ac3f6f25Szoujr    //   VecInit(read_tags).asUInt,
372ac3f6f25Szoujr    //   req_tag
373ac3f6f25Szoujr    // )
37409c6f1ddSLingrui98
3755371700eSzoujr    def allocWay(valids: UInt, idx: UInt) = {
37609c6f1ddSLingrui98      if (numWays > 1) {
37709c6f1ddSLingrui98        val w = Wire(UInt(log2Up(numWays).W))
37809c6f1ddSLingrui98        val valid = WireInit(valids.andR)
3795371700eSzoujr        w := Mux(valid, replacer.way(idx), PriorityEncoder(~valids))
38009c6f1ddSLingrui98        w
38109c6f1ddSLingrui98      }else {
38209c6f1ddSLingrui98        val w = WireInit(0.U)
38309c6f1ddSLingrui98        w
38409c6f1ddSLingrui98      }
38509c6f1ddSLingrui98    }
38609c6f1ddSLingrui98
387ab890bfeSLingrui98    io.read_resp := Mux1H(total_hits, read_entries) // Mux1H
38809c6f1ddSLingrui98    io.read_hits.valid := hit
389bb09c7feSzoujr    // io.read_hits.bits := Mux(hit, hit_way_1h, VecInit(UIntToOH(allocWriteWay).asBools()))
3905371700eSzoujr    io.read_hits.bits := hit_way
39109c6f1ddSLingrui98
3921c8d9e26Szoujr    io.update_hits.valid := u_hit
3931c8d9e26Szoujr    io.update_hits.bits := u_hit_way
3941c8d9e26Szoujr
395c6bf0bffSzoujr    // XSDebug(!hit, "FTB not hit, alloc a way: %d\n", allocWriteWay)
39609c6f1ddSLingrui98
39709c6f1ddSLingrui98    // Update logic
39809c6f1ddSLingrui98    val u_valid = io.update_write_data.valid
39909c6f1ddSLingrui98    val u_data = io.update_write_data.bits
40009c6f1ddSLingrui98    val u_idx = ftbAddr.getIdx(io.update_pc)
4015371700eSzoujr    val allocWriteWay = allocWay(VecInit(read_entries.map(_.valid)).asUInt, u_idx)
4025371700eSzoujr    val u_mask = UIntToOH(Mux(io.update_write_alloc, allocWriteWay, io.update_write_way))
403c6bf0bffSzoujr
404c6bf0bffSzoujr    for (i <- 0 until numWays) {
4055371700eSzoujr      XSPerfAccumulate(f"ftb_replace_way$i", u_valid && io.update_write_alloc && OHToUInt(u_mask) === i.U)
4065371700eSzoujr      XSPerfAccumulate(f"ftb_replace_way${i}_has_empty", u_valid && io.update_write_alloc && !read_entries.map(_.valid).reduce(_&&_) && OHToUInt(u_mask) === i.U)
4075371700eSzoujr      XSPerfAccumulate(f"ftb_hit_way$i", hit && !io.update_access && hit_way === i.U)
408c6bf0bffSzoujr    }
40909c6f1ddSLingrui98
41009c6f1ddSLingrui98    ftb.io.w.apply(u_valid, u_data, u_idx, u_mask)
411eeb5ff92SLingrui98
412eeb5ff92SLingrui98    // print hit entry info
413ab890bfeSLingrui98    Mux1H(total_hits, ftb.io.r.resp.data).display(true.B)
41409c6f1ddSLingrui98  } // FTBBank
41509c6f1ddSLingrui98
41609c6f1ddSLingrui98  val ftbBank = Module(new FTBBank(numSets, numWays))
41709c6f1ddSLingrui98
41809c6f1ddSLingrui98  ftbBank.io.req_pc.valid := io.s0_fire
41909c6f1ddSLingrui98  ftbBank.io.req_pc.bits := s0_pc
42009c6f1ddSLingrui98
42109c6f1ddSLingrui98  val ftb_entry = RegEnable(ftbBank.io.read_resp, io.s1_fire)
42209c6f1ddSLingrui98  val s1_hit = ftbBank.io.read_hits.valid
42309c6f1ddSLingrui98  val s2_hit = RegEnable(s1_hit, io.s1_fire)
42409c6f1ddSLingrui98  val writeWay = ftbBank.io.read_hits.bits
42509c6f1ddSLingrui98
42609c6f1ddSLingrui98  val fallThruAddr = getFallThroughAddr(s2_pc, ftb_entry.carry, ftb_entry.pftAddr)
42709c6f1ddSLingrui98
42809c6f1ddSLingrui98  // io.out.bits.resp := RegEnable(io.in.bits.resp_in(0), 0.U.asTypeOf(new BranchPredictionResp), io.s1_fire)
42909c6f1ddSLingrui98  io.out.resp := io.in.bits.resp_in(0)
43009c6f1ddSLingrui98
43109c6f1ddSLingrui98  val s1_latch_call_is_rvc   = DontCare // TODO: modify when add RAS
43209c6f1ddSLingrui98
433*b37e4b45SLingrui98  io.out.resp.s2.full_pred.hit           := s2_hit
43409c6f1ddSLingrui98  io.out.resp.s2.pc                  := s2_pc
43509c6f1ddSLingrui98  io.out.resp.s2.ftb_entry           := ftb_entry
436*b37e4b45SLingrui98  io.out.resp.s2.full_pred.fromFtbEntry(ftb_entry, s2_pc, Some((s1_pc, io.s1_fire)))
437*b37e4b45SLingrui98  io.out.resp.s2.is_minimal := false.B
43809c6f1ddSLingrui98
4393e52bed1SLingrui98  io.out.last_stage_meta := RegEnable(FTBMeta(writeWay.asUInt(), s1_hit, GTimer()).asUInt(), io.s1_fire)
44009c6f1ddSLingrui98
44109c6f1ddSLingrui98  // always taken logic
44209c6f1ddSLingrui98  for (i <- 0 until numBr) {
443*b37e4b45SLingrui98    io.out.resp.s2.full_pred.br_taken_mask(i) := io.in.bits.resp_in(0).s2.full_pred.br_taken_mask(i) || s2_hit && ftb_entry.always_taken(i)
44409c6f1ddSLingrui98  }
44509c6f1ddSLingrui98
44609c6f1ddSLingrui98  // Update logic
44709c6f1ddSLingrui98  val update = RegNext(io.update.bits)
44809c6f1ddSLingrui98
449c6bf0bffSzoujr  // val update_queue = Mem(64, new UpdateQueueEntry)
450c6bf0bffSzoujr  // val head, tail = RegInit(UpdateQueuePtr(false.B, 0.U))
451c6bf0bffSzoujr  // val u_queue = Module(new Queue(new UpdateQueueEntry, entries = 64, flow = true))
452c6bf0bffSzoujr  // assert(u_queue.io.count < 64.U)
453c6bf0bffSzoujr
45409c6f1ddSLingrui98  val u_meta = update.meta.asTypeOf(new FTBMeta)
45509c6f1ddSLingrui98  val u_valid = RegNext(io.update.valid && !io.update.bits.old_entry)
456bb09c7feSzoujr
457c6bf0bffSzoujr  // io.s1_ready := ftbBank.io.req_pc.ready && u_queue.io.count === 0.U && !u_valid
458c6bf0bffSzoujr  io.s1_ready := ftbBank.io.req_pc.ready && !(u_valid && !u_meta.hit)
459bb09c7feSzoujr
460c6bf0bffSzoujr  // val update_now = u_queue.io.deq.fire && u_queue.io.deq.bits.hit
461c6bf0bffSzoujr  val update_now = u_valid && u_meta.hit
462c6bf0bffSzoujr
4631c8d9e26Szoujr  ftbBank.io.u_req_pc.valid := u_valid && !u_meta.hit
4641c8d9e26Szoujr  ftbBank.io.u_req_pc.bits := update.pc
465bb09c7feSzoujr
466c6bf0bffSzoujr  // assert(!(u_valid && RegNext(u_valid) && update.pc === RegNext(update.pc)))
4675371700eSzoujr  // assert(!(u_valid && RegNext(u_valid)))
468bb09c7feSzoujr
469c6bf0bffSzoujr  // val u_way = u_queue.io.deq.bits.hit_way
47009c6f1ddSLingrui98
47109c6f1ddSLingrui98  val ftb_write = Wire(new FTBEntryWithTag)
472c6bf0bffSzoujr  // ftb_write.entry := Mux(update_now, u_queue.io.deq.bits.ftb_entry, RegNext(u_queue.io.deq.bits.ftb_entry))
473c6bf0bffSzoujr  // ftb_write.tag   := ftbAddr.getTag(Mux(update_now, u_queue.io.deq.bits.pc, RegNext(u_queue.io.deq.bits.pc)))(tagSize-1, 0)
474c6bf0bffSzoujr  ftb_write.entry := Mux(update_now, update.ftb_entry, RegNext(update.ftb_entry))
475c6bf0bffSzoujr  ftb_write.tag   := ftbAddr.getTag(Mux(update_now, update.pc, RegNext(update.pc)))(tagSize-1, 0)
47609c6f1ddSLingrui98
477c6bf0bffSzoujr  // val write_valid = update_now || RegNext(u_queue.io.deq.fire && !u_queue.io.deq.bits.hit)
478c6bf0bffSzoujr  val write_valid = update_now || RegNext(u_valid && !u_meta.hit)
479c6bf0bffSzoujr
480c6bf0bffSzoujr  // u_queue.io.enq.valid := u_valid
481c6bf0bffSzoujr  // u_queue.io.enq.bits := UpdateQueueEntry(update.pc, update.ftb_entry, u_meta.hit, u_meta.writeWay)
482c6bf0bffSzoujr  // u_queue.io.deq.ready := RegNext(!u_queue.io.deq.fire || update_now)
483c6bf0bffSzoujr
484c6bf0bffSzoujr  ftbBank.io.update_write_data.valid := write_valid
48509c6f1ddSLingrui98  ftbBank.io.update_write_data.bits := ftb_write
486c6bf0bffSzoujr  // ftbBank.io.update_pc := Mux(update_now, u_queue.io.deq.bits.pc, RegNext(u_queue.io.deq.bits.pc))
487c6bf0bffSzoujr  ftbBank.io.update_pc := Mux(update_now, update.pc, RegNext(update.pc))
4881c8d9e26Szoujr  ftbBank.io.update_write_way := Mux(update_now, u_meta.writeWay, ftbBank.io.update_hits.bits)
4891c8d9e26Szoujr  // ftbBank.io.update_write_alloc := Mux(update_now, !u_queue.io.deq.bits.hit, !ftbBank.io.update_hits.valid)
4901c8d9e26Szoujr  ftbBank.io.update_write_alloc := Mux(update_now, false.B, !ftbBank.io.update_hits.valid)
4911c8d9e26Szoujr  ftbBank.io.update_access := u_valid && !u_meta.hit
4925371700eSzoujr  ftbBank.io.s1_fire := io.s1_fire
49309c6f1ddSLingrui98
49409c6f1ddSLingrui98  XSDebug("req_v=%b, req_pc=%x, ready=%b (resp at next cycle)\n", io.s0_fire, s0_pc, ftbBank.io.req_pc.ready)
49509c6f1ddSLingrui98  XSDebug("s2_hit=%b, hit_way=%b\n", s2_hit, writeWay.asUInt)
496eeb5ff92SLingrui98  XSDebug("s2_br_taken_mask=%b, s2_real_taken_mask=%b\n",
497*b37e4b45SLingrui98    io.in.bits.resp_in(0).s2.full_pred.br_taken_mask.asUInt, io.out.resp.s2.full_pred.real_slot_taken_mask().asUInt)
498*b37e4b45SLingrui98  XSDebug("s2_target=%x\n", io.out.resp.s2.getTarget)
49909c6f1ddSLingrui98
50009c6f1ddSLingrui98  ftb_entry.display(true.B)
50109c6f1ddSLingrui98
50209c6f1ddSLingrui98  XSPerfAccumulate("ftb_read_hits", RegNext(io.s0_fire) && s1_hit)
50309c6f1ddSLingrui98  XSPerfAccumulate("ftb_read_misses", RegNext(io.s0_fire) && !s1_hit)
50409c6f1ddSLingrui98
505*b37e4b45SLingrui98  XSPerfAccumulate("ftb_commit_hits", io.update.valid && io.update.bits.full_pred.hit)
506*b37e4b45SLingrui98  XSPerfAccumulate("ftb_commit_misses", io.update.valid && !io.update.bits.full_pred.hit)
50709c6f1ddSLingrui98
50809c6f1ddSLingrui98  XSPerfAccumulate("ftb_update_req", io.update.valid)
50909c6f1ddSLingrui98  XSPerfAccumulate("ftb_update_ignored", io.update.valid && io.update.bits.old_entry)
51009c6f1ddSLingrui98  XSPerfAccumulate("ftb_updated", u_valid)
511cd365d4cSrvcoresjw
512cd365d4cSrvcoresjw  val perfEvents = Seq(
513*b37e4b45SLingrui98    ("ftb_commit_hits            ", u_valid  &&  update.full_pred.hit),
514*b37e4b45SLingrui98    ("ftb_commit_misses          ", u_valid  && !update.full_pred.hit),
515cd365d4cSrvcoresjw  )
5161ca0e4f3SYinan Xu  generatePerfEvent()
51709c6f1ddSLingrui98}
518