109c6f1ddSLingrui98/*************************************************************************************** 209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory 409c6f1ddSLingrui98* 509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2. 609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2. 709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at: 809c6f1ddSLingrui98* http://license.coscl.org.cn/MulanPSL2 909c6f1ddSLingrui98* 1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 1309c6f1ddSLingrui98* 1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details. 1509c6f1ddSLingrui98***************************************************************************************/ 1609c6f1ddSLingrui98 1709c6f1ddSLingrui98package xiangshan.frontend 1809c6f1ddSLingrui98 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 2009c6f1ddSLingrui98import chisel3._ 2109c6f1ddSLingrui98import chisel3.util._ 2209c6f1ddSLingrui98import xiangshan._ 2309c6f1ddSLingrui98import utils._ 243c02ee8fSwakafaimport utility._ 2509c6f1ddSLingrui98 2609c6f1ddSLingrui98import scala.math.min 27adc0b8dfSGuokai Chenimport scala.{Tuple2 => &} 28eeb5ff92SLingrui98import os.copy 2909c6f1ddSLingrui98 3009c6f1ddSLingrui98 3109c6f1ddSLingrui98trait FTBParams extends HasXSParameter with HasBPUConst { 32b37e4b45SLingrui98 val numEntries = FtbSize 33b37e4b45SLingrui98 val numWays = FtbWays 3409c6f1ddSLingrui98 val numSets = numEntries/numWays // 512 3509c6f1ddSLingrui98 val tagSize = 20 3609c6f1ddSLingrui98 37eeb5ff92SLingrui98 38eeb5ff92SLingrui98 3909c6f1ddSLingrui98 val TAR_STAT_SZ = 2 4009c6f1ddSLingrui98 def TAR_FIT = 0.U(TAR_STAT_SZ.W) 4109c6f1ddSLingrui98 def TAR_OVF = 1.U(TAR_STAT_SZ.W) 4209c6f1ddSLingrui98 def TAR_UDF = 2.U(TAR_STAT_SZ.W) 4309c6f1ddSLingrui98 44bf358e08SLingrui98 def BR_OFFSET_LEN = 12 45bf358e08SLingrui98 def JMP_OFFSET_LEN = 20 4609c6f1ddSLingrui98} 4709c6f1ddSLingrui98 48b30c10d6SLingrui98class FtbSlot(val offsetLen: Int, val subOffsetLen: Option[Int] = None)(implicit p: Parameters) extends XSBundle with FTBParams { 49b30c10d6SLingrui98 if (subOffsetLen.isDefined) { 50b30c10d6SLingrui98 require(subOffsetLen.get <= offsetLen) 51b30c10d6SLingrui98 } 52eeb5ff92SLingrui98 val offset = UInt(log2Ceil(PredictWidth).W) 53eeb5ff92SLingrui98 val lower = UInt(offsetLen.W) 54eeb5ff92SLingrui98 val tarStat = UInt(TAR_STAT_SZ.W) 55eeb5ff92SLingrui98 val sharing = Bool() 5609c6f1ddSLingrui98 val valid = Bool() 5709c6f1ddSLingrui98 58eeb5ff92SLingrui98 def setLowerStatByTarget(pc: UInt, target: UInt, isShare: Boolean) = { 59eeb5ff92SLingrui98 def getTargetStatByHigher(pc_higher: UInt, target_higher: UInt) = 60eeb5ff92SLingrui98 Mux(target_higher > pc_higher, TAR_OVF, 61eeb5ff92SLingrui98 Mux(target_higher < pc_higher, TAR_UDF, TAR_FIT)) 62eeb5ff92SLingrui98 def getLowerByTarget(target: UInt, offsetLen: Int) = target(offsetLen, 1) 63b30c10d6SLingrui98 val offLen = if (isShare) this.subOffsetLen.get else this.offsetLen 64eeb5ff92SLingrui98 val pc_higher = pc(VAddrBits-1, offLen+1) 65eeb5ff92SLingrui98 val target_higher = target(VAddrBits-1, offLen+1) 66eeb5ff92SLingrui98 val stat = getTargetStatByHigher(pc_higher, target_higher) 67eeb5ff92SLingrui98 val lower = ZeroExt(getLowerByTarget(target, offLen), this.offsetLen) 68eeb5ff92SLingrui98 this.lower := lower 69eeb5ff92SLingrui98 this.tarStat := stat 70eeb5ff92SLingrui98 this.sharing := isShare.B 71eeb5ff92SLingrui98 } 7209c6f1ddSLingrui98 73b30c10d6SLingrui98 def getTarget(pc: UInt, last_stage: Option[Tuple2[UInt, Bool]] = None) = { 74b30c10d6SLingrui98 def getTarget(offLen: Int)(pc: UInt, lower: UInt, stat: UInt, 75b30c10d6SLingrui98 last_stage: Option[Tuple2[UInt, Bool]] = None) = { 76b30c10d6SLingrui98 val h = pc(VAddrBits - 1, offLen + 1) 77b30c10d6SLingrui98 val higher = Wire(UInt((VAddrBits - offLen - 1).W)) 78b30c10d6SLingrui98 val higher_plus_one = Wire(UInt((VAddrBits - offLen - 1).W)) 79b30c10d6SLingrui98 val higher_minus_one = Wire(UInt((VAddrBits-offLen-1).W)) 8047c003a9SEaston Man 8147c003a9SEaston Man // Switch between previous stage pc and current stage pc 8247c003a9SEaston Man // Give flexibility for timing 83b30c10d6SLingrui98 if (last_stage.isDefined) { 84b30c10d6SLingrui98 val last_stage_pc = last_stage.get._1 85b30c10d6SLingrui98 val last_stage_pc_h = last_stage_pc(VAddrBits-1, offLen+1) 86b30c10d6SLingrui98 val stage_en = last_stage.get._2 87b30c10d6SLingrui98 higher := RegEnable(last_stage_pc_h, stage_en) 88b30c10d6SLingrui98 higher_plus_one := RegEnable(last_stage_pc_h+1.U, stage_en) 89b30c10d6SLingrui98 higher_minus_one := RegEnable(last_stage_pc_h-1.U, stage_en) 90b30c10d6SLingrui98 } else { 91b30c10d6SLingrui98 higher := h 92b30c10d6SLingrui98 higher_plus_one := h + 1.U 93b30c10d6SLingrui98 higher_minus_one := h - 1.U 94b30c10d6SLingrui98 } 95eeb5ff92SLingrui98 val target = 96eeb5ff92SLingrui98 Cat( 97b30c10d6SLingrui98 Mux1H(Seq( 98b30c10d6SLingrui98 (stat === TAR_OVF, higher_plus_one), 99b30c10d6SLingrui98 (stat === TAR_UDF, higher_minus_one), 100b30c10d6SLingrui98 (stat === TAR_FIT, higher), 101b30c10d6SLingrui98 )), 102eeb5ff92SLingrui98 lower(offLen-1, 0), 0.U(1.W) 103eeb5ff92SLingrui98 ) 104eeb5ff92SLingrui98 require(target.getWidth == VAddrBits) 105eeb5ff92SLingrui98 require(offLen != 0) 106eeb5ff92SLingrui98 target 107eeb5ff92SLingrui98 } 108b30c10d6SLingrui98 if (subOffsetLen.isDefined) 109eeb5ff92SLingrui98 Mux(sharing, 110b30c10d6SLingrui98 getTarget(subOffsetLen.get)(pc, lower, tarStat, last_stage), 111b30c10d6SLingrui98 getTarget(offsetLen)(pc, lower, tarStat, last_stage) 112eeb5ff92SLingrui98 ) 113eeb5ff92SLingrui98 else 114b30c10d6SLingrui98 getTarget(offsetLen)(pc, lower, tarStat, last_stage) 115eeb5ff92SLingrui98 } 116eeb5ff92SLingrui98 def fromAnotherSlot(that: FtbSlot) = { 117eeb5ff92SLingrui98 require( 118b30c10d6SLingrui98 this.offsetLen > that.offsetLen && this.subOffsetLen.map(_ == that.offsetLen).getOrElse(true) || 119eeb5ff92SLingrui98 this.offsetLen == that.offsetLen 120eeb5ff92SLingrui98 ) 121eeb5ff92SLingrui98 this.offset := that.offset 122eeb5ff92SLingrui98 this.tarStat := that.tarStat 123b30c10d6SLingrui98 this.sharing := (this.offsetLen > that.offsetLen && that.offsetLen == this.subOffsetLen.get).B 124eeb5ff92SLingrui98 this.valid := that.valid 125eeb5ff92SLingrui98 this.lower := ZeroExt(that.lower, this.offsetLen) 126eeb5ff92SLingrui98 } 127eeb5ff92SLingrui98 128eeb5ff92SLingrui98} 129eeb5ff92SLingrui98 130eeb5ff92SLingrui98class FTBEntry(implicit p: Parameters) extends XSBundle with FTBParams with BPUUtils { 131eeb5ff92SLingrui98 132eeb5ff92SLingrui98 133eeb5ff92SLingrui98 val valid = Bool() 134eeb5ff92SLingrui98 135eeb5ff92SLingrui98 val brSlots = Vec(numBrSlot, new FtbSlot(BR_OFFSET_LEN)) 136eeb5ff92SLingrui98 137b30c10d6SLingrui98 val tailSlot = new FtbSlot(JMP_OFFSET_LEN, Some(BR_OFFSET_LEN)) 13809c6f1ddSLingrui98 13909c6f1ddSLingrui98 // Partial Fall-Through Address 140a60a2901SLingrui98 val pftAddr = UInt(log2Up(PredictWidth).W) 14109c6f1ddSLingrui98 val carry = Bool() 14209c6f1ddSLingrui98 14309c6f1ddSLingrui98 val isCall = Bool() 14409c6f1ddSLingrui98 val isRet = Bool() 14509c6f1ddSLingrui98 val isJalr = Bool() 14609c6f1ddSLingrui98 147f4ebc4b2SLingrui98 val last_may_be_rvi_call = Bool() 14809c6f1ddSLingrui98 14909c6f1ddSLingrui98 val always_taken = Vec(numBr, Bool()) 15009c6f1ddSLingrui98 151eeb5ff92SLingrui98 def getSlotForBr(idx: Int): FtbSlot = { 152b37e4b45SLingrui98 require(idx <= numBr-1) 153b37e4b45SLingrui98 (idx, numBr) match { 154b37e4b45SLingrui98 case (i, n) if i == n-1 => this.tailSlot 155eeb5ff92SLingrui98 case _ => this.brSlots(idx) 15609c6f1ddSLingrui98 } 15709c6f1ddSLingrui98 } 158eeb5ff92SLingrui98 def allSlotsForBr = { 159eeb5ff92SLingrui98 (0 until numBr).map(getSlotForBr(_)) 16009c6f1ddSLingrui98 } 16109c6f1ddSLingrui98 def setByBrTarget(brIdx: Int, pc: UInt, target: UInt) = { 162eeb5ff92SLingrui98 val slot = getSlotForBr(brIdx) 163b37e4b45SLingrui98 slot.setLowerStatByTarget(pc, target, brIdx == numBr-1) 16409c6f1ddSLingrui98 } 16509c6f1ddSLingrui98 def setByJmpTarget(pc: UInt, target: UInt) = { 166eeb5ff92SLingrui98 this.tailSlot.setLowerStatByTarget(pc, target, false) 16709c6f1ddSLingrui98 } 16809c6f1ddSLingrui98 169b30c10d6SLingrui98 def getTargetVec(pc: UInt, last_stage: Option[Tuple2[UInt, Bool]] = None) = { 170b30c10d6SLingrui98 VecInit((brSlots :+ tailSlot).map(_.getTarget(pc, last_stage))) 171bf358e08SLingrui98 } 17209c6f1ddSLingrui98 173eeb5ff92SLingrui98 def getOffsetVec = VecInit(brSlots.map(_.offset) :+ tailSlot.offset) 17409c6f1ddSLingrui98 def isJal = !isJalr 17547c003a9SEaston Man def getFallThrough(pc: UInt, last_stage_entry: Option[Tuple2[FTBEntry, Bool]] = None) = { 17647c003a9SEaston Man if (last_stage_entry.isDefined) { 17747c003a9SEaston Man var stashed_carry = RegEnable(last_stage_entry.get._1.carry, last_stage_entry.get._2) 17847c003a9SEaston Man getFallThroughAddr(pc, stashed_carry, pftAddr) 17947c003a9SEaston Man } else { 18047c003a9SEaston Man getFallThroughAddr(pc, carry, pftAddr) 18147c003a9SEaston Man } 18247c003a9SEaston Man } 18347c003a9SEaston Man 184eeb5ff92SLingrui98 def hasBr(offset: UInt) = 185eeb5ff92SLingrui98 brSlots.map{ s => s.valid && s.offset <= offset}.reduce(_||_) || 186b37e4b45SLingrui98 (tailSlot.valid && tailSlot.offset <= offset && tailSlot.sharing) 18709c6f1ddSLingrui98 188eeb5ff92SLingrui98 def getBrMaskByOffset(offset: UInt) = 189b37e4b45SLingrui98 brSlots.map{ s => s.valid && s.offset <= offset } :+ 190b37e4b45SLingrui98 (tailSlot.valid && tailSlot.offset <= offset && tailSlot.sharing) 191eeb5ff92SLingrui98 192eeb5ff92SLingrui98 def getBrRecordedVec(offset: UInt) = { 193eeb5ff92SLingrui98 VecInit( 194b37e4b45SLingrui98 brSlots.map(s => s.valid && s.offset === offset) :+ 195b37e4b45SLingrui98 (tailSlot.valid && tailSlot.offset === offset && tailSlot.sharing) 196eeb5ff92SLingrui98 ) 19709c6f1ddSLingrui98 } 19809c6f1ddSLingrui98 199eeb5ff92SLingrui98 def brIsSaved(offset: UInt) = getBrRecordedVec(offset).reduce(_||_) 200eeb5ff92SLingrui98 201eeb5ff92SLingrui98 def brValids = { 202eeb5ff92SLingrui98 VecInit( 203b37e4b45SLingrui98 brSlots.map(_.valid) :+ (tailSlot.valid && tailSlot.sharing) 204eeb5ff92SLingrui98 ) 205eeb5ff92SLingrui98 } 206eeb5ff92SLingrui98 207eeb5ff92SLingrui98 def noEmptySlotForNewBr = { 208b37e4b45SLingrui98 VecInit(brSlots.map(_.valid) :+ tailSlot.valid).reduce(_&&_) 209eeb5ff92SLingrui98 } 210eeb5ff92SLingrui98 211eeb5ff92SLingrui98 def newBrCanNotInsert(offset: UInt) = { 212b37e4b45SLingrui98 val lastSlotForBr = tailSlot 213eeb5ff92SLingrui98 lastSlotForBr.valid && lastSlotForBr.offset < offset 214eeb5ff92SLingrui98 } 215eeb5ff92SLingrui98 216eeb5ff92SLingrui98 def jmpValid = { 217b37e4b45SLingrui98 tailSlot.valid && !tailSlot.sharing 218eeb5ff92SLingrui98 } 219eeb5ff92SLingrui98 220eeb5ff92SLingrui98 def brOffset = { 221b37e4b45SLingrui98 VecInit(brSlots.map(_.offset) :+ tailSlot.offset) 222eeb5ff92SLingrui98 } 223eeb5ff92SLingrui98 22409c6f1ddSLingrui98 def display(cond: Bool): Unit = { 22509c6f1ddSLingrui98 XSDebug(cond, p"-----------FTB entry----------- \n") 22609c6f1ddSLingrui98 XSDebug(cond, p"v=${valid}\n") 22709c6f1ddSLingrui98 for(i <- 0 until numBr) { 228eeb5ff92SLingrui98 XSDebug(cond, p"[br$i]: v=${allSlotsForBr(i).valid}, offset=${allSlotsForBr(i).offset}," + 229eeb5ff92SLingrui98 p"lower=${Hexadecimal(allSlotsForBr(i).lower)}\n") 23009c6f1ddSLingrui98 } 231eeb5ff92SLingrui98 XSDebug(cond, p"[tailSlot]: v=${tailSlot.valid}, offset=${tailSlot.offset}," + 232eeb5ff92SLingrui98 p"lower=${Hexadecimal(tailSlot.lower)}, sharing=${tailSlot.sharing}}\n") 23309c6f1ddSLingrui98 XSDebug(cond, p"pftAddr=${Hexadecimal(pftAddr)}, carry=$carry\n") 23409c6f1ddSLingrui98 XSDebug(cond, p"isCall=$isCall, isRet=$isRet, isjalr=$isJalr\n") 235f4ebc4b2SLingrui98 XSDebug(cond, p"last_may_be_rvi_call=$last_may_be_rvi_call\n") 23609c6f1ddSLingrui98 XSDebug(cond, p"------------------------------- \n") 23709c6f1ddSLingrui98 } 23809c6f1ddSLingrui98 23909c6f1ddSLingrui98} 24009c6f1ddSLingrui98 24109c6f1ddSLingrui98class FTBEntryWithTag(implicit p: Parameters) extends XSBundle with FTBParams with BPUUtils { 24209c6f1ddSLingrui98 val entry = new FTBEntry 24309c6f1ddSLingrui98 val tag = UInt(tagSize.W) 24409c6f1ddSLingrui98 def display(cond: Bool): Unit = { 245eeb5ff92SLingrui98 entry.display(cond) 246eeb5ff92SLingrui98 XSDebug(cond, p"tag is ${Hexadecimal(tag)}\n------------------------------- \n") 24709c6f1ddSLingrui98 } 24809c6f1ddSLingrui98} 24909c6f1ddSLingrui98 25009c6f1ddSLingrui98class FTBMeta(implicit p: Parameters) extends XSBundle with FTBParams { 251bb09c7feSzoujr val writeWay = UInt(log2Ceil(numWays).W) 25209c6f1ddSLingrui98 val hit = Bool() 2531bc6e9c8SLingrui98 val pred_cycle = if (!env.FPGAPlatform) Some(UInt(64.W)) else None 25409c6f1ddSLingrui98} 25509c6f1ddSLingrui98 25609c6f1ddSLingrui98object FTBMeta { 25709c6f1ddSLingrui98 def apply(writeWay: UInt, hit: Bool, pred_cycle: UInt)(implicit p: Parameters): FTBMeta = { 25809c6f1ddSLingrui98 val e = Wire(new FTBMeta) 25909c6f1ddSLingrui98 e.writeWay := writeWay 26009c6f1ddSLingrui98 e.hit := hit 2611bc6e9c8SLingrui98 e.pred_cycle.map(_ := pred_cycle) 26209c6f1ddSLingrui98 e 26309c6f1ddSLingrui98 } 26409c6f1ddSLingrui98} 26509c6f1ddSLingrui98 266c6bf0bffSzoujr// class UpdateQueueEntry(implicit p: Parameters) extends XSBundle with FTBParams { 267c6bf0bffSzoujr// val pc = UInt(VAddrBits.W) 268c6bf0bffSzoujr// val ftb_entry = new FTBEntry 269c6bf0bffSzoujr// val hit = Bool() 270c6bf0bffSzoujr// val hit_way = UInt(log2Ceil(numWays).W) 271c6bf0bffSzoujr// } 272c6bf0bffSzoujr// 273c6bf0bffSzoujr// object UpdateQueueEntry { 274c6bf0bffSzoujr// def apply(pc: UInt, fe: FTBEntry, hit: Bool, hit_way: UInt)(implicit p: Parameters): UpdateQueueEntry = { 275c6bf0bffSzoujr// val e = Wire(new UpdateQueueEntry) 276c6bf0bffSzoujr// e.pc := pc 277c6bf0bffSzoujr// e.ftb_entry := fe 278c6bf0bffSzoujr// e.hit := hit 279c6bf0bffSzoujr// e.hit_way := hit_way 280c6bf0bffSzoujr// e 281c6bf0bffSzoujr// } 282c6bf0bffSzoujr// } 283c6bf0bffSzoujr 2841ca0e4f3SYinan Xuclass FTB(implicit p: Parameters) extends BasePredictor with FTBParams with BPUUtils 2851ca0e4f3SYinan Xu with HasCircularQueuePtrHelper with HasPerfEvents { 28609c6f1ddSLingrui98 override val meta_size = WireInit(0.U.asTypeOf(new FTBMeta)).getWidth 28709c6f1ddSLingrui98 28809c6f1ddSLingrui98 val ftbAddr = new TableAddr(log2Up(numSets), 1) 28909c6f1ddSLingrui98 29009c6f1ddSLingrui98 class FTBBank(val numSets: Int, val nWays: Int) extends XSModule with BPUUtils { 29109c6f1ddSLingrui98 val io = IO(new Bundle { 2925371700eSzoujr val s1_fire = Input(Bool()) 29309c6f1ddSLingrui98 29409c6f1ddSLingrui98 // when ftb hit, read_hits.valid is true, and read_hits.bits is OH of hit way 29509c6f1ddSLingrui98 // when ftb not hit, read_hits.valid is false, and read_hits is OH of allocWay 296bb09c7feSzoujr // val read_hits = Valid(Vec(numWays, Bool())) 2971c8d9e26Szoujr val req_pc = Flipped(DecoupledIO(UInt(VAddrBits.W))) 2981c8d9e26Szoujr val read_resp = Output(new FTBEntry) 299bb09c7feSzoujr val read_hits = Valid(UInt(log2Ceil(numWays).W)) 30009c6f1ddSLingrui98 3011c8d9e26Szoujr val u_req_pc = Flipped(DecoupledIO(UInt(VAddrBits.W))) 3021c8d9e26Szoujr val update_hits = Valid(UInt(log2Ceil(numWays).W)) 3031c8d9e26Szoujr val update_access = Input(Bool()) 30409c6f1ddSLingrui98 30509c6f1ddSLingrui98 val update_pc = Input(UInt(VAddrBits.W)) 30609c6f1ddSLingrui98 val update_write_data = Flipped(Valid(new FTBEntryWithTag)) 307c6bf0bffSzoujr val update_write_way = Input(UInt(log2Ceil(numWays).W)) 308c6bf0bffSzoujr val update_write_alloc = Input(Bool()) 30909c6f1ddSLingrui98 }) 31009c6f1ddSLingrui98 31136638515SEaston Man // Extract holdRead logic to fix bug that update read override predict read result 31236638515SEaston Man val ftb = Module(new SRAMTemplate(new FTBEntryWithTag, set = numSets, way = numWays, shouldReset = true, holdRead = false, singlePort = true)) 31336638515SEaston Man val ftb_r_entries = ftb.io.r.resp.data.map(_.entry) 31409c6f1ddSLingrui98 31536638515SEaston Man val pred_rdata = HoldUnless(ftb.io.r.resp.data, RegNext(io.req_pc.valid && !io.update_access)) 31636638515SEaston Man ftb.io.r.req.valid := io.req_pc.valid || io.u_req_pc.valid // io.s0_fire 31736638515SEaston Man ftb.io.r.req.bits.setIdx := Mux(io.u_req_pc.valid, ftbAddr.getIdx(io.u_req_pc.bits), ftbAddr.getIdx(io.req_pc.bits)) // s0_idx 3181c8d9e26Szoujr 3191c8d9e26Szoujr assert(!(io.req_pc.valid && io.u_req_pc.valid)) 32009c6f1ddSLingrui98 32136638515SEaston Man io.req_pc.ready := ftb.io.r.req.ready 32236638515SEaston Man io.u_req_pc.ready := ftb.io.r.req.ready 32309c6f1ddSLingrui98 32409c6f1ddSLingrui98 val req_tag = RegEnable(ftbAddr.getTag(io.req_pc.bits)(tagSize-1, 0), io.req_pc.valid) 325ac3f6f25Szoujr val req_idx = RegEnable(ftbAddr.getIdx(io.req_pc.bits), io.req_pc.valid) 32609c6f1ddSLingrui98 3271c8d9e26Szoujr val u_req_tag = RegEnable(ftbAddr.getTag(io.u_req_pc.bits)(tagSize-1, 0), io.u_req_pc.valid) 32809c6f1ddSLingrui98 3291c8d9e26Szoujr val read_entries = pred_rdata.map(_.entry) 3301c8d9e26Szoujr val read_tags = pred_rdata.map(_.tag) 3311c8d9e26Szoujr 3321c8d9e26Szoujr val total_hits = VecInit((0 until numWays).map(b => read_tags(b) === req_tag && read_entries(b).valid && io.s1_fire)) 33309c6f1ddSLingrui98 val hit = total_hits.reduce(_||_) 334bb09c7feSzoujr // val hit_way_1h = VecInit(PriorityEncoderOH(total_hits)) 335ab890bfeSLingrui98 val hit_way = OHToUInt(total_hits) 33609c6f1ddSLingrui98 3371c8d9e26Szoujr val u_total_hits = VecInit((0 until numWays).map(b => 33836638515SEaston Man ftb.io.r.resp.data(b).tag === u_req_tag && ftb.io.r.resp.data(b).entry.valid && RegNext(io.update_access))) 3391c8d9e26Szoujr val u_hit = u_total_hits.reduce(_||_) 3401c8d9e26Szoujr // val hit_way_1h = VecInit(PriorityEncoderOH(total_hits)) 341ab890bfeSLingrui98 val u_hit_way = OHToUInt(u_total_hits) 3421c8d9e26Szoujr 343ccd953deSSteve Gou // assert(PopCount(total_hits) === 1.U || PopCount(total_hits) === 0.U) 344ccd953deSSteve Gou // assert(PopCount(u_total_hits) === 1.U || PopCount(u_total_hits) === 0.U) 345ccd953deSSteve Gou for (n <- 1 to numWays) { 346ccd953deSSteve Gou XSPerfAccumulate(f"ftb_pred_${n}_way_hit", PopCount(total_hits) === n.U) 347ccd953deSSteve Gou XSPerfAccumulate(f"ftb_update_${n}_way_hit", PopCount(u_total_hits) === n.U) 348ccd953deSSteve Gou } 34909c6f1ddSLingrui98 350ac3f6f25Szoujr val replacer = ReplacementPolicy.fromString(Some("setplru"), numWays, numSets) 351c6bf0bffSzoujr // val allocWriteWay = replacer.way(req_idx) 35209c6f1ddSLingrui98 353ac3f6f25Szoujr val touch_set = Seq.fill(1)(Wire(UInt(log2Ceil(numSets).W))) 354ac3f6f25Szoujr val touch_way = Seq.fill(1)(Wire(Valid(UInt(log2Ceil(numWays).W)))) 355ac3f6f25Szoujr 356a788562dSSteve Gou val write_set = Wire(UInt(log2Ceil(numSets).W)) 357a788562dSSteve Gou val write_way = Wire(Valid(UInt(log2Ceil(numWays).W))) 358ac3f6f25Szoujr 359a788562dSSteve Gou val read_set = Wire(UInt(log2Ceil(numSets).W)) 360a788562dSSteve Gou val read_way = Wire(Valid(UInt(log2Ceil(numWays).W))) 361a788562dSSteve Gou 362a788562dSSteve Gou read_set := req_idx 363a788562dSSteve Gou read_way.valid := hit 364a788562dSSteve Gou read_way.bits := hit_way 365a788562dSSteve Gou 36621bd6001SEaston Man // Read replacer access is postponed for 1 cycle 36721bd6001SEaston Man // this helps timing 36821bd6001SEaston Man touch_set(0) := Mux(write_way.valid, write_set, RegNext(read_set)) 36921bd6001SEaston Man touch_way(0).valid := write_way.valid || RegNext(read_way.valid) 37021bd6001SEaston Man touch_way(0).bits := Mux(write_way.valid, write_way.bits, RegNext(read_way.bits)) 371ac3f6f25Szoujr 372c6bf0bffSzoujr replacer.access(touch_set, touch_way) 373c6bf0bffSzoujr 37421bd6001SEaston Man // Select the update allocate way 37521bd6001SEaston Man // Selection logic: 37621bd6001SEaston Man // 1. if any entries within the same index is not valid, select it 37721bd6001SEaston Man // 2. if all entries is valid, use replacer 37802f21c16SLingrui98 def allocWay(valids: UInt, idx: UInt): UInt = { 37909c6f1ddSLingrui98 if (numWays > 1) { 38009c6f1ddSLingrui98 val w = Wire(UInt(log2Up(numWays).W)) 38109c6f1ddSLingrui98 val valid = WireInit(valids.andR) 3825371700eSzoujr w := Mux(valid, replacer.way(idx), PriorityEncoder(~valids)) 38309c6f1ddSLingrui98 w 38409c6f1ddSLingrui98 } else { 38502f21c16SLingrui98 val w = WireInit(0.U(log2Up(numWays).W)) 38609c6f1ddSLingrui98 w 38709c6f1ddSLingrui98 } 38809c6f1ddSLingrui98 } 38909c6f1ddSLingrui98 390ab890bfeSLingrui98 io.read_resp := Mux1H(total_hits, read_entries) // Mux1H 39109c6f1ddSLingrui98 io.read_hits.valid := hit 3925371700eSzoujr io.read_hits.bits := hit_way 39309c6f1ddSLingrui98 3941c8d9e26Szoujr io.update_hits.valid := u_hit 3951c8d9e26Szoujr io.update_hits.bits := u_hit_way 3961c8d9e26Szoujr 39709c6f1ddSLingrui98 // Update logic 39809c6f1ddSLingrui98 val u_valid = io.update_write_data.valid 39909c6f1ddSLingrui98 val u_data = io.update_write_data.bits 40009c6f1ddSLingrui98 val u_idx = ftbAddr.getIdx(io.update_pc) 40102f21c16SLingrui98 val allocWriteWay = allocWay(RegNext(VecInit(ftb_r_entries.map(_.valid))).asUInt, u_idx) 40202f21c16SLingrui98 val u_way = Mux(io.update_write_alloc, allocWriteWay, io.update_write_way) 40302f21c16SLingrui98 val u_mask = UIntToOH(u_way) 404c6bf0bffSzoujr 405c6bf0bffSzoujr for (i <- 0 until numWays) { 40602f21c16SLingrui98 XSPerfAccumulate(f"ftb_replace_way$i", u_valid && io.update_write_alloc && u_way === i.U) 40702f21c16SLingrui98 XSPerfAccumulate(f"ftb_replace_way${i}_has_empty", u_valid && io.update_write_alloc && !ftb_r_entries.map(_.valid).reduce(_&&_) && u_way === i.U) 4085371700eSzoujr XSPerfAccumulate(f"ftb_hit_way$i", hit && !io.update_access && hit_way === i.U) 409c6bf0bffSzoujr } 41009c6f1ddSLingrui98 41136638515SEaston Man ftb.io.w.apply(u_valid, u_data, u_idx, u_mask) 412eeb5ff92SLingrui98 413a788562dSSteve Gou // for replacer 414f4e1af07SLingrui98 write_set := u_idx 415f4e1af07SLingrui98 write_way.valid := u_valid 416f4e1af07SLingrui98 write_way.bits := Mux(io.update_write_alloc, allocWriteWay, io.update_write_way) 417a788562dSSteve Gou 418eeb5ff92SLingrui98 // print hit entry info 41936638515SEaston Man Mux1H(total_hits, ftb.io.r.resp.data).display(true.B) 42009c6f1ddSLingrui98 } // FTBBank 42109c6f1ddSLingrui98 42209c6f1ddSLingrui98 val ftbBank = Module(new FTBBank(numSets, numWays)) 42309c6f1ddSLingrui98 424adc0b8dfSGuokai Chen ftbBank.io.req_pc.valid := io.s0_fire(0) 425adc0b8dfSGuokai Chen ftbBank.io.req_pc.bits := s0_pc_dup(0) 42609c6f1ddSLingrui98 427adc0b8dfSGuokai Chen val btb_enable_dup = dup(RegNext(io.ctrl.btb_enable)) 428adc0b8dfSGuokai Chen val s2_ftb_entry_dup = io.s1_fire.map(f => RegEnable(ftbBank.io.read_resp, f)) 429adc0b8dfSGuokai Chen val s3_ftb_entry_dup = io.s2_fire.zip(s2_ftb_entry_dup).map {case (f, e) => RegEnable(e, f)} 430adc0b8dfSGuokai Chen 4316ee06c7aSSteve Gou val s1_hit = ftbBank.io.read_hits.valid && io.ctrl.btb_enable 432c89b4642SGuokai Chen val s2_hit_dup = io.s1_fire.map(f => RegEnable(s1_hit, 0.B, f)) 433c89b4642SGuokai Chen val s3_hit_dup = io.s2_fire.zip(s2_hit_dup).map {case (f, h) => RegEnable(h, 0.B, f)} 43409c6f1ddSLingrui98 val writeWay = ftbBank.io.read_hits.bits 43509c6f1ddSLingrui98 43609c6f1ddSLingrui98 // io.out.bits.resp := RegEnable(io.in.bits.resp_in(0), 0.U.asTypeOf(new BranchPredictionResp), io.s1_fire) 437c2d1ec7dSLingrui98 io.out := io.in.bits.resp_in(0) 43809c6f1ddSLingrui98 439adc0b8dfSGuokai Chen io.out.s2.full_pred.zip(s2_hit_dup).map {case (fp, h) => fp.hit := h} 440adc0b8dfSGuokai Chen io.out.s2.pc := s2_pc_dup 441adc0b8dfSGuokai Chen for (full_pred & s2_ftb_entry & s2_pc & s1_pc & s1_fire <- 442adc0b8dfSGuokai Chen io.out.s2.full_pred zip s2_ftb_entry_dup zip s2_pc_dup zip s1_pc_dup zip io.s1_fire) { 44347c003a9SEaston Man full_pred.fromFtbEntry(s2_ftb_entry, 44447c003a9SEaston Man s2_pc, 44547c003a9SEaston Man // Previous stage meta for better timing 44647c003a9SEaston Man Some(s1_pc, s1_fire), 44747c003a9SEaston Man Some(ftbBank.io.read_resp, s1_fire) 44847c003a9SEaston Man ) 449adc0b8dfSGuokai Chen } 45009c6f1ddSLingrui98 451adc0b8dfSGuokai Chen io.out.s3.full_pred.zip(s3_hit_dup).map {case (fp, h) => fp.hit := h} 452adc0b8dfSGuokai Chen io.out.s3.pc := s3_pc_dup 453adc0b8dfSGuokai Chen for (full_pred & s3_ftb_entry & s3_pc & s2_pc & s2_fire <- 454adc0b8dfSGuokai Chen io.out.s3.full_pred zip s3_ftb_entry_dup zip s3_pc_dup zip s2_pc_dup zip io.s2_fire) 455adc0b8dfSGuokai Chen full_pred.fromFtbEntry(s3_ftb_entry, s3_pc, Some((s2_pc, s2_fire))) 456cb4f77ceSLingrui98 457adc0b8dfSGuokai Chen io.out.last_stage_ftb_entry := s3_ftb_entry_dup(0) 458935edac4STang Haojin io.out.last_stage_meta := RegEnable(RegEnable(FTBMeta(writeWay.asUInt, s1_hit, GTimer()).asUInt, io.s1_fire(0)), io.s2_fire(0)) 45909c6f1ddSLingrui98 46009c6f1ddSLingrui98 // always taken logic 46109c6f1ddSLingrui98 for (i <- 0 until numBr) { 462adc0b8dfSGuokai Chen for (out_fp & in_fp & s2_hit & s2_ftb_entry <- 463adc0b8dfSGuokai Chen io.out.s2.full_pred zip io.in.bits.resp_in(0).s2.full_pred zip s2_hit_dup zip s2_ftb_entry_dup) 464adc0b8dfSGuokai Chen out_fp.br_taken_mask(i) := in_fp.br_taken_mask(i) || s2_hit && s2_ftb_entry.always_taken(i) 465adc0b8dfSGuokai Chen for (out_fp & in_fp & s3_hit & s3_ftb_entry <- 466adc0b8dfSGuokai Chen io.out.s3.full_pred zip io.in.bits.resp_in(0).s3.full_pred zip s3_hit_dup zip s3_ftb_entry_dup) 467adc0b8dfSGuokai Chen out_fp.br_taken_mask(i) := in_fp.br_taken_mask(i) || s3_hit && s3_ftb_entry.always_taken(i) 46809c6f1ddSLingrui98 } 46909c6f1ddSLingrui98 47009c6f1ddSLingrui98 // Update logic 47102f21c16SLingrui98 val update = io.update.bits 472c6bf0bffSzoujr 47309c6f1ddSLingrui98 val u_meta = update.meta.asTypeOf(new FTBMeta) 47402f21c16SLingrui98 val u_valid = io.update.valid && !io.update.bits.old_entry 475bb09c7feSzoujr 476*7af6acb0SEaston Man val (_, delay2_pc) = DelayNWithValid(update.pc, u_valid, 2) 477*7af6acb0SEaston Man val (_, delay2_entry) = DelayNWithValid(update.ftb_entry, u_valid, 2) 478bb09c7feSzoujr 47902f21c16SLingrui98 480c6bf0bffSzoujr val update_now = u_valid && u_meta.hit 48102f21c16SLingrui98 val update_need_read = u_valid && !u_meta.hit 48202f21c16SLingrui98 // stall one more cycle because we use a whole cycle to do update read tag hit 48302f21c16SLingrui98 io.s1_ready := ftbBank.io.req_pc.ready && !(update_need_read) && !RegNext(update_need_read) 484c6bf0bffSzoujr 48502f21c16SLingrui98 ftbBank.io.u_req_pc.valid := update_need_read 4861c8d9e26Szoujr ftbBank.io.u_req_pc.bits := update.pc 487bb09c7feSzoujr 488bb09c7feSzoujr 48909c6f1ddSLingrui98 49009c6f1ddSLingrui98 val ftb_write = Wire(new FTBEntryWithTag) 49102f21c16SLingrui98 ftb_write.entry := Mux(update_now, update.ftb_entry, delay2_entry) 49202f21c16SLingrui98 ftb_write.tag := ftbAddr.getTag(Mux(update_now, update.pc, delay2_pc))(tagSize-1, 0) 49309c6f1ddSLingrui98 49402f21c16SLingrui98 val write_valid = update_now || DelayN(u_valid && !u_meta.hit, 2) 495c6bf0bffSzoujr 496c6bf0bffSzoujr ftbBank.io.update_write_data.valid := write_valid 49709c6f1ddSLingrui98 ftbBank.io.update_write_data.bits := ftb_write 49802f21c16SLingrui98 ftbBank.io.update_pc := Mux(update_now, update.pc, delay2_pc) 49902f21c16SLingrui98 ftbBank.io.update_write_way := Mux(update_now, u_meta.writeWay, RegNext(ftbBank.io.update_hits.bits)) // use it one cycle later 50002f21c16SLingrui98 ftbBank.io.update_write_alloc := Mux(update_now, false.B, RegNext(!ftbBank.io.update_hits.valid)) // use it one cycle later 5011c8d9e26Szoujr ftbBank.io.update_access := u_valid && !u_meta.hit 502adc0b8dfSGuokai Chen ftbBank.io.s1_fire := io.s1_fire(0) 50309c6f1ddSLingrui98 504adc0b8dfSGuokai Chen XSDebug("req_v=%b, req_pc=%x, ready=%b (resp at next cycle)\n", io.s0_fire(0), s0_pc_dup(0), ftbBank.io.req_pc.ready) 505adc0b8dfSGuokai Chen XSDebug("s2_hit=%b, hit_way=%b\n", s2_hit_dup(0), writeWay.asUInt) 506eeb5ff92SLingrui98 XSDebug("s2_br_taken_mask=%b, s2_real_taken_mask=%b\n", 507adc0b8dfSGuokai Chen io.in.bits.resp_in(0).s2.full_pred(0).br_taken_mask.asUInt, io.out.s2.full_pred(0).real_slot_taken_mask().asUInt) 508adc0b8dfSGuokai Chen XSDebug("s2_target=%x\n", io.out.s2.getTarget(0)) 50909c6f1ddSLingrui98 510adc0b8dfSGuokai Chen s2_ftb_entry_dup(0).display(true.B) 51109c6f1ddSLingrui98 512adc0b8dfSGuokai Chen XSPerfAccumulate("ftb_read_hits", RegNext(io.s0_fire(0)) && s1_hit) 513adc0b8dfSGuokai Chen XSPerfAccumulate("ftb_read_misses", RegNext(io.s0_fire(0)) && !s1_hit) 51409c6f1ddSLingrui98 51502f21c16SLingrui98 XSPerfAccumulate("ftb_commit_hits", io.update.valid && u_meta.hit) 51602f21c16SLingrui98 XSPerfAccumulate("ftb_commit_misses", io.update.valid && !u_meta.hit) 51709c6f1ddSLingrui98 51809c6f1ddSLingrui98 XSPerfAccumulate("ftb_update_req", io.update.valid) 51909c6f1ddSLingrui98 XSPerfAccumulate("ftb_update_ignored", io.update.valid && io.update.bits.old_entry) 52009c6f1ddSLingrui98 XSPerfAccumulate("ftb_updated", u_valid) 521cd365d4cSrvcoresjw 5224813e060SLingrui98 override val perfEvents = Seq( 523adc0b8dfSGuokai Chen ("ftb_commit_hits ", io.update.valid && u_meta.hit), 524adc0b8dfSGuokai Chen ("ftb_commit_misses ", io.update.valid && !u_meta.hit), 525cd365d4cSrvcoresjw ) 5261ca0e4f3SYinan Xu generatePerfEvent() 52709c6f1ddSLingrui98} 528