109c6f1ddSLingrui98/*************************************************************************************** 209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory 409c6f1ddSLingrui98* 509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2. 609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2. 709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at: 809c6f1ddSLingrui98* http://license.coscl.org.cn/MulanPSL2 909c6f1ddSLingrui98* 1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 1309c6f1ddSLingrui98* 1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details. 1509c6f1ddSLingrui98***************************************************************************************/ 1609c6f1ddSLingrui98 1709c6f1ddSLingrui98package xiangshan.frontend 1809c6f1ddSLingrui98 1909c6f1ddSLingrui98import chisel3._ 2009c6f1ddSLingrui98import chisel3.util._ 21cf7d6b7aSMuziimport org.chipsalliance.cde.config.Parameters 22cf7d6b7aSMuziimport scala.{Tuple2 => &} 23cf7d6b7aSMuziimport utility._ 244b2c87baS梁森 Liang Senimport utility.mbist.MbistPipeline 2593b51ff0SHuSipengimport utility.sram.SplittedSRAMTemplate 26cf7d6b7aSMuziimport xiangshan._ 2709c6f1ddSLingrui98 2809c6f1ddSLingrui98trait FTBParams extends HasXSParameter with HasBPUConst { 29b37e4b45SLingrui98 val numEntries = FtbSize 30b37e4b45SLingrui98 val numWays = FtbWays 3109c6f1ddSLingrui98 val numSets = numEntries / numWays // 512 325f89ba0bSEaston Man val tagLength = FtbTagLength 3309c6f1ddSLingrui98 3409c6f1ddSLingrui98 val TAR_STAT_SZ = 2 3509c6f1ddSLingrui98 def TAR_FIT = 0.U(TAR_STAT_SZ.W) 3609c6f1ddSLingrui98 def TAR_OVF = 1.U(TAR_STAT_SZ.W) 3709c6f1ddSLingrui98 def TAR_UDF = 2.U(TAR_STAT_SZ.W) 3809c6f1ddSLingrui98 39bf358e08SLingrui98 def BR_OFFSET_LEN = 12 40bf358e08SLingrui98 def JMP_OFFSET_LEN = 20 41fd3aa057SYuandongliang 42fd3aa057SYuandongliang def FTBCLOSE_THRESHOLD_SZ = log2Ceil(500) 43fd3aa057SYuandongliang def FTBCLOSE_THRESHOLD = 500.U(FTBCLOSE_THRESHOLD_SZ.W) // can be modified 4409c6f1ddSLingrui98} 4509c6f1ddSLingrui98 46deb3a97eSGao-Zeyuclass FtbSlot_FtqMem(implicit p: Parameters) extends XSBundle with FTBParams { 47deb3a97eSGao-Zeyu val offset = UInt(log2Ceil(PredictWidth).W) 48deb3a97eSGao-Zeyu val sharing = Bool() 49deb3a97eSGao-Zeyu val valid = Bool() 50deb3a97eSGao-Zeyu} 51deb3a97eSGao-Zeyu 52cf7d6b7aSMuziclass FtbSlot(val offsetLen: Int, val subOffsetLen: Option[Int] = None)(implicit p: Parameters) extends FtbSlot_FtqMem 53cf7d6b7aSMuzi with FTBParams { 54b30c10d6SLingrui98 if (subOffsetLen.isDefined) { 55b30c10d6SLingrui98 require(subOffsetLen.get <= offsetLen) 56b30c10d6SLingrui98 } 57eeb5ff92SLingrui98 val lower = UInt(offsetLen.W) 58eeb5ff92SLingrui98 val tarStat = UInt(TAR_STAT_SZ.W) 5909c6f1ddSLingrui98 60eeb5ff92SLingrui98 def setLowerStatByTarget(pc: UInt, target: UInt, isShare: Boolean) = { 61eeb5ff92SLingrui98 def getTargetStatByHigher(pc_higher: UInt, target_higher: UInt) = 62cf7d6b7aSMuzi Mux(target_higher > pc_higher, TAR_OVF, Mux(target_higher < pc_higher, TAR_UDF, TAR_FIT)) 63eeb5ff92SLingrui98 def getLowerByTarget(target: UInt, offsetLen: Int) = target(offsetLen, 1) 64b30c10d6SLingrui98 val offLen = if (isShare) this.subOffsetLen.get else this.offsetLen 65eeb5ff92SLingrui98 val pc_higher = pc(VAddrBits - 1, offLen + 1) 66eeb5ff92SLingrui98 val target_higher = target(VAddrBits - 1, offLen + 1) 67eeb5ff92SLingrui98 val stat = getTargetStatByHigher(pc_higher, target_higher) 68eeb5ff92SLingrui98 val lower = ZeroExt(getLowerByTarget(target, offLen), this.offsetLen) 69eeb5ff92SLingrui98 this.lower := lower 70eeb5ff92SLingrui98 this.tarStat := stat 71eeb5ff92SLingrui98 this.sharing := isShare.B 72eeb5ff92SLingrui98 } 7309c6f1ddSLingrui98 74b30c10d6SLingrui98 def getTarget(pc: UInt, last_stage: Option[Tuple2[UInt, Bool]] = None) = { 75cf7d6b7aSMuzi def getTarget(offLen: Int)(pc: UInt, lower: UInt, stat: UInt, last_stage: Option[Tuple2[UInt, Bool]] = None) = { 76b30c10d6SLingrui98 val h = pc(VAddrBits - 1, offLen + 1) 77b30c10d6SLingrui98 val higher = Wire(UInt((VAddrBits - offLen - 1).W)) 78b30c10d6SLingrui98 val higher_plus_one = Wire(UInt((VAddrBits - offLen - 1).W)) 79b30c10d6SLingrui98 val higher_minus_one = Wire(UInt((VAddrBits - offLen - 1).W)) 8047c003a9SEaston Man 8147c003a9SEaston Man // Switch between previous stage pc and current stage pc 8247c003a9SEaston Man // Give flexibility for timing 83b30c10d6SLingrui98 if (last_stage.isDefined) { 84b30c10d6SLingrui98 val last_stage_pc = last_stage.get._1 85b30c10d6SLingrui98 val last_stage_pc_h = last_stage_pc(VAddrBits - 1, offLen + 1) 86b30c10d6SLingrui98 val stage_en = last_stage.get._2 87b30c10d6SLingrui98 higher := RegEnable(last_stage_pc_h, stage_en) 88b30c10d6SLingrui98 higher_plus_one := RegEnable(last_stage_pc_h + 1.U, stage_en) 89b30c10d6SLingrui98 higher_minus_one := RegEnable(last_stage_pc_h - 1.U, stage_en) 90b30c10d6SLingrui98 } else { 91b30c10d6SLingrui98 higher := h 92b30c10d6SLingrui98 higher_plus_one := h + 1.U 93b30c10d6SLingrui98 higher_minus_one := h - 1.U 94b30c10d6SLingrui98 } 95eeb5ff92SLingrui98 val target = 96eeb5ff92SLingrui98 Cat( 97b30c10d6SLingrui98 Mux1H(Seq( 98b30c10d6SLingrui98 (stat === TAR_OVF, higher_plus_one), 99b30c10d6SLingrui98 (stat === TAR_UDF, higher_minus_one), 100cf7d6b7aSMuzi (stat === TAR_FIT, higher) 101b30c10d6SLingrui98 )), 102cf7d6b7aSMuzi lower(offLen - 1, 0), 103cf7d6b7aSMuzi 0.U(1.W) 104eeb5ff92SLingrui98 ) 105eeb5ff92SLingrui98 require(target.getWidth == VAddrBits) 106eeb5ff92SLingrui98 require(offLen != 0) 107eeb5ff92SLingrui98 target 108eeb5ff92SLingrui98 } 109b30c10d6SLingrui98 if (subOffsetLen.isDefined) 110cf7d6b7aSMuzi Mux( 111cf7d6b7aSMuzi sharing, 112b30c10d6SLingrui98 getTarget(subOffsetLen.get)(pc, lower, tarStat, last_stage), 113b30c10d6SLingrui98 getTarget(offsetLen)(pc, lower, tarStat, last_stage) 114eeb5ff92SLingrui98 ) 115eeb5ff92SLingrui98 else 116b30c10d6SLingrui98 getTarget(offsetLen)(pc, lower, tarStat, last_stage) 117eeb5ff92SLingrui98 } 118eeb5ff92SLingrui98 def fromAnotherSlot(that: FtbSlot) = { 119eeb5ff92SLingrui98 require( 120b30c10d6SLingrui98 this.offsetLen > that.offsetLen && this.subOffsetLen.map(_ == that.offsetLen).getOrElse(true) || 121eeb5ff92SLingrui98 this.offsetLen == that.offsetLen 122eeb5ff92SLingrui98 ) 123eeb5ff92SLingrui98 this.offset := that.offset 124eeb5ff92SLingrui98 this.tarStat := that.tarStat 125b30c10d6SLingrui98 this.sharing := (this.offsetLen > that.offsetLen && that.offsetLen == this.subOffsetLen.get).B 126eeb5ff92SLingrui98 this.valid := that.valid 127eeb5ff92SLingrui98 this.lower := ZeroExt(that.lower, this.offsetLen) 128eeb5ff92SLingrui98 } 129eeb5ff92SLingrui98 130cf7d6b7aSMuzi def slotConsistent(that: FtbSlot) = 131fd3aa057SYuandongliang VecInit( 132fd3aa057SYuandongliang this.offset === that.offset, 133fd3aa057SYuandongliang this.lower === that.lower, 134fd3aa057SYuandongliang this.tarStat === that.tarStat, 135fd3aa057SYuandongliang this.sharing === that.sharing, 136fd3aa057SYuandongliang this.valid === that.valid 137fd3aa057SYuandongliang ).reduce(_ && _) 138fd3aa057SYuandongliang 139eeb5ff92SLingrui98} 140eeb5ff92SLingrui98 141deb3a97eSGao-Zeyuclass FTBEntry_part(implicit p: Parameters) extends XSBundle with FTBParams with BPUUtils { 142deb3a97eSGao-Zeyu val isCall = Bool() 143deb3a97eSGao-Zeyu val isRet = Bool() 144deb3a97eSGao-Zeyu val isJalr = Bool() 145deb3a97eSGao-Zeyu 146deb3a97eSGao-Zeyu def isJal = !isJalr 147deb3a97eSGao-Zeyu} 148deb3a97eSGao-Zeyu 149deb3a97eSGao-Zeyuclass FTBEntry_FtqMem(implicit p: Parameters) extends FTBEntry_part with FTBParams with BPUUtils { 150deb3a97eSGao-Zeyu 151deb3a97eSGao-Zeyu val brSlots = Vec(numBrSlot, new FtbSlot_FtqMem) 152deb3a97eSGao-Zeyu val tailSlot = new FtbSlot_FtqMem 153deb3a97eSGao-Zeyu 154cf7d6b7aSMuzi def jmpValid = 155deb3a97eSGao-Zeyu tailSlot.valid && !tailSlot.sharing 156deb3a97eSGao-Zeyu 157cf7d6b7aSMuzi def getBrRecordedVec(offset: UInt) = 158deb3a97eSGao-Zeyu VecInit( 159deb3a97eSGao-Zeyu brSlots.map(s => s.valid && s.offset === offset) :+ 160deb3a97eSGao-Zeyu (tailSlot.valid && tailSlot.offset === offset && tailSlot.sharing) 161deb3a97eSGao-Zeyu ) 162deb3a97eSGao-Zeyu 163deb3a97eSGao-Zeyu def brIsSaved(offset: UInt) = getBrRecordedVec(offset).reduce(_ || _) 164deb3a97eSGao-Zeyu 165deb3a97eSGao-Zeyu def getBrMaskByOffset(offset: UInt) = 166cf7d6b7aSMuzi brSlots.map { s => 167cf7d6b7aSMuzi s.valid && s.offset <= offset 168cf7d6b7aSMuzi } :+ 169deb3a97eSGao-Zeyu (tailSlot.valid && tailSlot.offset <= offset && tailSlot.sharing) 170deb3a97eSGao-Zeyu 171deb3a97eSGao-Zeyu def newBrCanNotInsert(offset: UInt) = { 172deb3a97eSGao-Zeyu val lastSlotForBr = tailSlot 173deb3a97eSGao-Zeyu lastSlotForBr.valid && lastSlotForBr.offset < offset 174deb3a97eSGao-Zeyu } 175deb3a97eSGao-Zeyu 176deb3a97eSGao-Zeyu} 177deb3a97eSGao-Zeyu 178deb3a97eSGao-Zeyuclass FTBEntry(implicit p: Parameters) extends FTBEntry_part with FTBParams with BPUUtils { 179eeb5ff92SLingrui98 180eeb5ff92SLingrui98 val valid = Bool() 181eeb5ff92SLingrui98 182eeb5ff92SLingrui98 val brSlots = Vec(numBrSlot, new FtbSlot(BR_OFFSET_LEN)) 183eeb5ff92SLingrui98 184b30c10d6SLingrui98 val tailSlot = new FtbSlot(JMP_OFFSET_LEN, Some(BR_OFFSET_LEN)) 18509c6f1ddSLingrui98 18609c6f1ddSLingrui98 // Partial Fall-Through Address 187a60a2901SLingrui98 val pftAddr = UInt(log2Up(PredictWidth).W) 18809c6f1ddSLingrui98 val carry = Bool() 18909c6f1ddSLingrui98 190f4ebc4b2SLingrui98 val last_may_be_rvi_call = Bool() 19109c6f1ddSLingrui98 192dcf4211fSYuandongliang // Mark the conditional branch for the first jump and the jalr instruction that appears for the first time, 193dcf4211fSYuandongliang // and train the tag/ittage without using its results when strong_bias is true. 194dcf4211fSYuandongliang val strong_bias = Vec(numBr, Bool()) 19509c6f1ddSLingrui98 196eeb5ff92SLingrui98 def getSlotForBr(idx: Int): FtbSlot = { 197b37e4b45SLingrui98 require(idx <= numBr - 1) 198b37e4b45SLingrui98 (idx, numBr) match { 199b37e4b45SLingrui98 case (i, n) if i == n - 1 => this.tailSlot 200eeb5ff92SLingrui98 case _ => this.brSlots(idx) 20109c6f1ddSLingrui98 } 20209c6f1ddSLingrui98 } 203cf7d6b7aSMuzi def allSlotsForBr = 204eeb5ff92SLingrui98 (0 until numBr).map(getSlotForBr(_)) 20509c6f1ddSLingrui98 def setByBrTarget(brIdx: Int, pc: UInt, target: UInt) = { 206eeb5ff92SLingrui98 val slot = getSlotForBr(brIdx) 207b37e4b45SLingrui98 slot.setLowerStatByTarget(pc, target, brIdx == numBr - 1) 20809c6f1ddSLingrui98 } 209cf7d6b7aSMuzi def setByJmpTarget(pc: UInt, target: UInt) = 210eeb5ff92SLingrui98 this.tailSlot.setLowerStatByTarget(pc, target, false) 21109c6f1ddSLingrui98 212b30c10d6SLingrui98 def getTargetVec(pc: UInt, last_stage: Option[Tuple2[UInt, Bool]] = None) = { 213c08d3528SYuandongliang /* 214c08d3528SYuandongliang Previous design: Use the getTarget function of FTBSlot to calculate three sets of targets separately; 215c08d3528SYuandongliang During this process, nine sets of registers will be generated to register the values of the higher plus one minus one 216c08d3528SYuandongliang Current design: Reuse the duplicate parts of the original nine sets of registers, 217c4a59f19SYuandongliang calculate the common high bits last_stage_pc_higher of brtarget and jmptarget, 218c4a59f19SYuandongliang and the high bits last_stage_pc_middle that need to be added and subtracted from each other, 219c08d3528SYuandongliang and then concatenate them according to the carry situation to obtain brtarget and jmptarget 220c08d3528SYuandongliang */ 221c08d3528SYuandongliang val h_br = pc(VAddrBits - 1, BR_OFFSET_LEN + 1) 222c08d3528SYuandongliang val higher_br = Wire(UInt((VAddrBits - BR_OFFSET_LEN - 1).W)) 223c08d3528SYuandongliang val higher_plus_one_br = Wire(UInt((VAddrBits - BR_OFFSET_LEN - 1).W)) 224c08d3528SYuandongliang val higher_minus_one_br = Wire(UInt((VAddrBits - BR_OFFSET_LEN - 1).W)) 225c08d3528SYuandongliang val h_tail = pc(VAddrBits - 1, JMP_OFFSET_LEN + 1) 226c08d3528SYuandongliang val higher_tail = Wire(UInt((VAddrBits - JMP_OFFSET_LEN - 1).W)) 227c08d3528SYuandongliang val higher_plus_one_tail = Wire(UInt((VAddrBits - JMP_OFFSET_LEN - 1).W)) 228c08d3528SYuandongliang val higher_minus_one_tail = Wire(UInt((VAddrBits - JMP_OFFSET_LEN - 1).W)) 229c08d3528SYuandongliang if (last_stage.isDefined) { 230c08d3528SYuandongliang val last_stage_pc = last_stage.get._1 231c08d3528SYuandongliang val stage_en = last_stage.get._2 232c08d3528SYuandongliang val last_stage_pc_higher = RegEnable(last_stage_pc(VAddrBits - 1, JMP_OFFSET_LEN + 1), stage_en) 233c08d3528SYuandongliang val last_stage_pc_middle = RegEnable(last_stage_pc(JMP_OFFSET_LEN, BR_OFFSET_LEN + 1), stage_en) 234c08d3528SYuandongliang val last_stage_pc_higher_plus_one = RegEnable(last_stage_pc(VAddrBits - 1, JMP_OFFSET_LEN + 1) + 1.U, stage_en) 235c08d3528SYuandongliang val last_stage_pc_higher_minus_one = RegEnable(last_stage_pc(VAddrBits - 1, JMP_OFFSET_LEN + 1) - 1.U, stage_en) 236cf7d6b7aSMuzi val last_stage_pc_middle_plus_one = 237cf7d6b7aSMuzi RegEnable(Cat(0.U(1.W), last_stage_pc(JMP_OFFSET_LEN, BR_OFFSET_LEN + 1)) + 1.U, stage_en) 238cf7d6b7aSMuzi val last_stage_pc_middle_minus_one = 239cf7d6b7aSMuzi RegEnable(Cat(0.U(1.W), last_stage_pc(JMP_OFFSET_LEN, BR_OFFSET_LEN + 1)) - 1.U, stage_en) 240c08d3528SYuandongliang 241c08d3528SYuandongliang higher_br := Cat(last_stage_pc_higher, last_stage_pc_middle) 242c08d3528SYuandongliang higher_plus_one_br := Mux( 243c08d3528SYuandongliang last_stage_pc_middle_plus_one(JMP_OFFSET_LEN - BR_OFFSET_LEN), 244c08d3528SYuandongliang Cat(last_stage_pc_higher_plus_one, last_stage_pc_middle_plus_one(JMP_OFFSET_LEN - BR_OFFSET_LEN - 1, 0)), 245cf7d6b7aSMuzi Cat(last_stage_pc_higher, last_stage_pc_middle_plus_one(JMP_OFFSET_LEN - BR_OFFSET_LEN - 1, 0)) 246cf7d6b7aSMuzi ) 247c08d3528SYuandongliang higher_minus_one_br := Mux( 248c08d3528SYuandongliang last_stage_pc_middle_minus_one(JMP_OFFSET_LEN - BR_OFFSET_LEN), 249c08d3528SYuandongliang Cat(last_stage_pc_higher_minus_one, last_stage_pc_middle_minus_one(JMP_OFFSET_LEN - BR_OFFSET_LEN - 1, 0)), 250cf7d6b7aSMuzi Cat(last_stage_pc_higher, last_stage_pc_middle_minus_one(JMP_OFFSET_LEN - BR_OFFSET_LEN - 1, 0)) 251cf7d6b7aSMuzi ) 252c08d3528SYuandongliang 253c08d3528SYuandongliang higher_tail := last_stage_pc_higher 254c08d3528SYuandongliang higher_plus_one_tail := last_stage_pc_higher_plus_one 255c08d3528SYuandongliang higher_minus_one_tail := last_stage_pc_higher_minus_one 256c08d3528SYuandongliang } else { 257c08d3528SYuandongliang higher_br := h_br 258c08d3528SYuandongliang higher_plus_one_br := h_br + 1.U 259c08d3528SYuandongliang higher_minus_one_br := h_br - 1.U 260c08d3528SYuandongliang higher_tail := h_tail 261c08d3528SYuandongliang higher_plus_one_tail := h_tail + 1.U 262c08d3528SYuandongliang higher_minus_one_tail := h_tail - 1.U 263c08d3528SYuandongliang } 264c08d3528SYuandongliang val br_slots_targets = VecInit(brSlots.map(s => 265c08d3528SYuandongliang Cat( 266c08d3528SYuandongliang Mux1H(Seq( 267c08d3528SYuandongliang (s.tarStat === TAR_OVF, higher_plus_one_br), 268c08d3528SYuandongliang (s.tarStat === TAR_UDF, higher_minus_one_br), 269cf7d6b7aSMuzi (s.tarStat === TAR_FIT, higher_br) 270c08d3528SYuandongliang )), 271cf7d6b7aSMuzi s.lower(s.offsetLen - 1, 0), 272cf7d6b7aSMuzi 0.U(1.W) 273c08d3528SYuandongliang ) 274c08d3528SYuandongliang )) 275c08d3528SYuandongliang val tail_target = Wire(UInt(VAddrBits.W)) 276c08d3528SYuandongliang if (tailSlot.subOffsetLen.isDefined) { 277cf7d6b7aSMuzi tail_target := Mux( 278cf7d6b7aSMuzi tailSlot.sharing, 279c08d3528SYuandongliang Cat( 280c08d3528SYuandongliang Mux1H(Seq( 281c08d3528SYuandongliang (tailSlot.tarStat === TAR_OVF, higher_plus_one_br), 282c08d3528SYuandongliang (tailSlot.tarStat === TAR_UDF, higher_minus_one_br), 283cf7d6b7aSMuzi (tailSlot.tarStat === TAR_FIT, higher_br) 284c08d3528SYuandongliang )), 285cf7d6b7aSMuzi tailSlot.lower(tailSlot.subOffsetLen.get - 1, 0), 286cf7d6b7aSMuzi 0.U(1.W) 287c08d3528SYuandongliang ), 288c08d3528SYuandongliang Cat( 289c08d3528SYuandongliang Mux1H(Seq( 290c08d3528SYuandongliang (tailSlot.tarStat === TAR_OVF, higher_plus_one_tail), 291c08d3528SYuandongliang (tailSlot.tarStat === TAR_UDF, higher_minus_one_tail), 292cf7d6b7aSMuzi (tailSlot.tarStat === TAR_FIT, higher_tail) 293c08d3528SYuandongliang )), 294cf7d6b7aSMuzi tailSlot.lower(tailSlot.offsetLen - 1, 0), 295cf7d6b7aSMuzi 0.U(1.W) 296c08d3528SYuandongliang ) 297c08d3528SYuandongliang ) 298c08d3528SYuandongliang } else { 299c08d3528SYuandongliang tail_target := Cat( 300c08d3528SYuandongliang Mux1H(Seq( 301c08d3528SYuandongliang (tailSlot.tarStat === TAR_OVF, higher_plus_one_tail), 302c08d3528SYuandongliang (tailSlot.tarStat === TAR_UDF, higher_minus_one_tail), 303cf7d6b7aSMuzi (tailSlot.tarStat === TAR_FIT, higher_tail) 304c08d3528SYuandongliang )), 305cf7d6b7aSMuzi tailSlot.lower(tailSlot.offsetLen - 1, 0), 306cf7d6b7aSMuzi 0.U(1.W) 307c08d3528SYuandongliang ) 308c08d3528SYuandongliang } 309c08d3528SYuandongliang 310c08d3528SYuandongliang br_slots_targets.map(t => require(t.getWidth == VAddrBits)) 311c08d3528SYuandongliang require(tail_target.getWidth == VAddrBits) 312c08d3528SYuandongliang val targets = VecInit(br_slots_targets :+ tail_target) 313c08d3528SYuandongliang targets 314bf358e08SLingrui98 } 31509c6f1ddSLingrui98 316eeb5ff92SLingrui98 def getOffsetVec = VecInit(brSlots.map(_.offset) :+ tailSlot.offset) 317cf7d6b7aSMuzi def getFallThrough(pc: UInt, last_stage_entry: Option[Tuple2[FTBEntry, Bool]] = None) = 31847c003a9SEaston Man if (last_stage_entry.isDefined) { 31947c003a9SEaston Man var stashed_carry = RegEnable(last_stage_entry.get._1.carry, last_stage_entry.get._2) 32047c003a9SEaston Man getFallThroughAddr(pc, stashed_carry, pftAddr) 32147c003a9SEaston Man } else { 32247c003a9SEaston Man getFallThroughAddr(pc, carry, pftAddr) 32347c003a9SEaston Man } 32447c003a9SEaston Man 325eeb5ff92SLingrui98 def hasBr(offset: UInt) = 326cf7d6b7aSMuzi brSlots.map(s => s.valid && s.offset <= offset).reduce(_ || _) || 327b37e4b45SLingrui98 (tailSlot.valid && tailSlot.offset <= offset && tailSlot.sharing) 32809c6f1ddSLingrui98 329eeb5ff92SLingrui98 def getBrMaskByOffset(offset: UInt) = 330cf7d6b7aSMuzi brSlots.map { s => 331cf7d6b7aSMuzi s.valid && s.offset <= offset 332cf7d6b7aSMuzi } :+ 333b37e4b45SLingrui98 (tailSlot.valid && tailSlot.offset <= offset && tailSlot.sharing) 334eeb5ff92SLingrui98 335cf7d6b7aSMuzi def getBrRecordedVec(offset: UInt) = 336eeb5ff92SLingrui98 VecInit( 337b37e4b45SLingrui98 brSlots.map(s => s.valid && s.offset === offset) :+ 338b37e4b45SLingrui98 (tailSlot.valid && tailSlot.offset === offset && tailSlot.sharing) 339eeb5ff92SLingrui98 ) 34009c6f1ddSLingrui98 341eeb5ff92SLingrui98 def brIsSaved(offset: UInt) = getBrRecordedVec(offset).reduce(_ || _) 342eeb5ff92SLingrui98 343cf7d6b7aSMuzi def brValids = 344eeb5ff92SLingrui98 VecInit( 345b37e4b45SLingrui98 brSlots.map(_.valid) :+ (tailSlot.valid && tailSlot.sharing) 346eeb5ff92SLingrui98 ) 347eeb5ff92SLingrui98 348cf7d6b7aSMuzi def noEmptySlotForNewBr = 349b37e4b45SLingrui98 VecInit(brSlots.map(_.valid) :+ tailSlot.valid).reduce(_ && _) 350eeb5ff92SLingrui98 351eeb5ff92SLingrui98 def newBrCanNotInsert(offset: UInt) = { 352b37e4b45SLingrui98 val lastSlotForBr = tailSlot 353eeb5ff92SLingrui98 lastSlotForBr.valid && lastSlotForBr.offset < offset 354eeb5ff92SLingrui98 } 355eeb5ff92SLingrui98 356cf7d6b7aSMuzi def jmpValid = 357b37e4b45SLingrui98 tailSlot.valid && !tailSlot.sharing 358eeb5ff92SLingrui98 359cf7d6b7aSMuzi def brOffset = 360b37e4b45SLingrui98 VecInit(brSlots.map(_.offset) :+ tailSlot.offset) 361eeb5ff92SLingrui98 362fd3aa057SYuandongliang def entryConsistent(that: FTBEntry) = { 363fd3aa057SYuandongliang val validDiff = this.valid === that.valid 364fd3aa057SYuandongliang val brSlotsDiffSeq: IndexedSeq[Bool] = 365fd3aa057SYuandongliang this.brSlots.zip(that.brSlots).map { 366fd3aa057SYuandongliang case (x, y) => x.slotConsistent(y) 367fd3aa057SYuandongliang } 368fd3aa057SYuandongliang val tailSlotDiff = this.tailSlot.slotConsistent(that.tailSlot) 369fd3aa057SYuandongliang val pftAddrDiff = this.pftAddr === that.pftAddr 370fd3aa057SYuandongliang val carryDiff = this.carry === that.carry 371fd3aa057SYuandongliang val isCallDiff = this.isCall === that.isCall 372fd3aa057SYuandongliang val isRetDiff = this.isRet === that.isRet 373fd3aa057SYuandongliang val isJalrDiff = this.isJalr === that.isJalr 374fd3aa057SYuandongliang val lastMayBeRviCallDiff = this.last_may_be_rvi_call === that.last_may_be_rvi_call 375fd3aa057SYuandongliang val alwaysTakenDiff: IndexedSeq[Bool] = 376dcf4211fSYuandongliang this.strong_bias.zip(that.strong_bias).map { 377fd3aa057SYuandongliang case (x, y) => x === y 378fd3aa057SYuandongliang } 379fd3aa057SYuandongliang VecInit( 380fd3aa057SYuandongliang validDiff, 381fd3aa057SYuandongliang brSlotsDiffSeq.reduce(_ && _), 382fd3aa057SYuandongliang tailSlotDiff, 383fd3aa057SYuandongliang pftAddrDiff, 384fd3aa057SYuandongliang carryDiff, 385fd3aa057SYuandongliang isCallDiff, 386fd3aa057SYuandongliang isRetDiff, 387fd3aa057SYuandongliang isJalrDiff, 388fd3aa057SYuandongliang lastMayBeRviCallDiff, 389fd3aa057SYuandongliang alwaysTakenDiff.reduce(_ && _) 390fd3aa057SYuandongliang ).reduce(_ && _) 391fd3aa057SYuandongliang } 392fd3aa057SYuandongliang 39309c6f1ddSLingrui98 def display(cond: Bool): Unit = { 39409c6f1ddSLingrui98 XSDebug(cond, p"-----------FTB entry----------- \n") 39509c6f1ddSLingrui98 XSDebug(cond, p"v=${valid}\n") 39609c6f1ddSLingrui98 for (i <- 0 until numBr) { 397cf7d6b7aSMuzi XSDebug( 398cf7d6b7aSMuzi cond, 399cf7d6b7aSMuzi p"[br$i]: v=${allSlotsForBr(i).valid}, offset=${allSlotsForBr(i).offset}," + 400cf7d6b7aSMuzi p"lower=${Hexadecimal(allSlotsForBr(i).lower)}\n" 401cf7d6b7aSMuzi ) 40209c6f1ddSLingrui98 } 403cf7d6b7aSMuzi XSDebug( 404cf7d6b7aSMuzi cond, 405cf7d6b7aSMuzi p"[tailSlot]: v=${tailSlot.valid}, offset=${tailSlot.offset}," + 406cf7d6b7aSMuzi p"lower=${Hexadecimal(tailSlot.lower)}, sharing=${tailSlot.sharing}}\n" 407cf7d6b7aSMuzi ) 40809c6f1ddSLingrui98 XSDebug(cond, p"pftAddr=${Hexadecimal(pftAddr)}, carry=$carry\n") 40909c6f1ddSLingrui98 XSDebug(cond, p"isCall=$isCall, isRet=$isRet, isjalr=$isJalr\n") 410f4ebc4b2SLingrui98 XSDebug(cond, p"last_may_be_rvi_call=$last_may_be_rvi_call\n") 41109c6f1ddSLingrui98 XSDebug(cond, p"------------------------------- \n") 41209c6f1ddSLingrui98 } 41309c6f1ddSLingrui98 41409c6f1ddSLingrui98} 41509c6f1ddSLingrui98 41609c6f1ddSLingrui98class FTBEntryWithTag(implicit p: Parameters) extends XSBundle with FTBParams with BPUUtils { 41709c6f1ddSLingrui98 val entry = new FTBEntry 4185f89ba0bSEaston Man val tag = UInt(tagLength.W) 41909c6f1ddSLingrui98 def display(cond: Bool): Unit = { 420eeb5ff92SLingrui98 entry.display(cond) 421eeb5ff92SLingrui98 XSDebug(cond, p"tag is ${Hexadecimal(tag)}\n------------------------------- \n") 42209c6f1ddSLingrui98 } 42309c6f1ddSLingrui98} 42409c6f1ddSLingrui98 42509c6f1ddSLingrui98class FTBMeta(implicit p: Parameters) extends XSBundle with FTBParams { 426bb09c7feSzoujr val writeWay = UInt(log2Ceil(numWays).W) 42709c6f1ddSLingrui98 val hit = Bool() 4281bc6e9c8SLingrui98 val pred_cycle = if (!env.FPGAPlatform) Some(UInt(64.W)) else None 42909c6f1ddSLingrui98} 43009c6f1ddSLingrui98 43109c6f1ddSLingrui98object FTBMeta { 43209c6f1ddSLingrui98 def apply(writeWay: UInt, hit: Bool, pred_cycle: UInt)(implicit p: Parameters): FTBMeta = { 43309c6f1ddSLingrui98 val e = Wire(new FTBMeta) 43409c6f1ddSLingrui98 e.writeWay := writeWay 43509c6f1ddSLingrui98 e.hit := hit 4361bc6e9c8SLingrui98 e.pred_cycle.map(_ := pred_cycle) 43709c6f1ddSLingrui98 e 43809c6f1ddSLingrui98 } 43909c6f1ddSLingrui98} 44009c6f1ddSLingrui98 441c6bf0bffSzoujr// class UpdateQueueEntry(implicit p: Parameters) extends XSBundle with FTBParams { 442c6bf0bffSzoujr// val pc = UInt(VAddrBits.W) 443c6bf0bffSzoujr// val ftb_entry = new FTBEntry 444c6bf0bffSzoujr// val hit = Bool() 445c6bf0bffSzoujr// val hit_way = UInt(log2Ceil(numWays).W) 446c6bf0bffSzoujr// } 447c6bf0bffSzoujr// 448c6bf0bffSzoujr// object UpdateQueueEntry { 449c6bf0bffSzoujr// def apply(pc: UInt, fe: FTBEntry, hit: Bool, hit_way: UInt)(implicit p: Parameters): UpdateQueueEntry = { 450c6bf0bffSzoujr// val e = Wire(new UpdateQueueEntry) 451c6bf0bffSzoujr// e.pc := pc 452c6bf0bffSzoujr// e.ftb_entry := fe 453c6bf0bffSzoujr// e.hit := hit 454c6bf0bffSzoujr// e.hit_way := hit_way 455c6bf0bffSzoujr// e 456c6bf0bffSzoujr// } 457c6bf0bffSzoujr// } 458c6bf0bffSzoujr 459d4885a3fSEaston Manclass FTBTableAddr(val idxBits: Int, val banks: Int, val skewedBits: Int)(implicit p: Parameters) extends XSBundle { 460d4885a3fSEaston Man val addr = new TableAddr(idxBits, banks) 461d4885a3fSEaston Man def getIdx(x: UInt) = addr.getIdx(x) ^ Cat(addr.getTag(x), addr.getIdx(x))(idxBits + skewedBits - 1, skewedBits) 462d4885a3fSEaston Man def getTag(x: UInt) = addr.getTag(x) 463d4885a3fSEaston Man} 464d4885a3fSEaston Man 4651ca0e4f3SYinan Xuclass FTB(implicit p: Parameters) extends BasePredictor with FTBParams with BPUUtils 4661ca0e4f3SYinan Xu with HasCircularQueuePtrHelper with HasPerfEvents { 46709c6f1ddSLingrui98 override val meta_size = WireInit(0.U.asTypeOf(new FTBMeta)).getWidth 46809c6f1ddSLingrui98 469d4885a3fSEaston Man val ftbAddr = new FTBTableAddr(log2Up(numSets), 1, 3) 47009c6f1ddSLingrui98 47109c6f1ddSLingrui98 class FTBBank(val numSets: Int, val nWays: Int) extends XSModule with BPUUtils { 47209c6f1ddSLingrui98 val io = IO(new Bundle { 4735371700eSzoujr val s1_fire = Input(Bool()) 47409c6f1ddSLingrui98 47509c6f1ddSLingrui98 // when ftb hit, read_hits.valid is true, and read_hits.bits is OH of hit way 47609c6f1ddSLingrui98 // when ftb not hit, read_hits.valid is false, and read_hits is OH of allocWay 477bb09c7feSzoujr // val read_hits = Valid(Vec(numWays, Bool())) 4781c8d9e26Szoujr val req_pc = Flipped(DecoupledIO(UInt(VAddrBits.W))) 4791c8d9e26Szoujr val read_resp = Output(new FTBEntry) 480bb09c7feSzoujr val read_hits = Valid(UInt(log2Ceil(numWays).W)) 48109c6f1ddSLingrui98 482fd3aa057SYuandongliang val read_multi_entry = Output(new FTBEntry) 483fd3aa057SYuandongliang val read_multi_hits = Valid(UInt(log2Ceil(numWays).W)) 484fd3aa057SYuandongliang 4851c8d9e26Szoujr val u_req_pc = Flipped(DecoupledIO(UInt(VAddrBits.W))) 4861c8d9e26Szoujr val update_hits = Valid(UInt(log2Ceil(numWays).W)) 4871c8d9e26Szoujr val update_access = Input(Bool()) 48809c6f1ddSLingrui98 48909c6f1ddSLingrui98 val update_pc = Input(UInt(VAddrBits.W)) 49009c6f1ddSLingrui98 val update_write_data = Flipped(Valid(new FTBEntryWithTag)) 491c6bf0bffSzoujr val update_write_way = Input(UInt(log2Ceil(numWays).W)) 492c6bf0bffSzoujr val update_write_alloc = Input(Bool()) 49309c6f1ddSLingrui98 }) 49409c6f1ddSLingrui98 49536638515SEaston Man // Extract holdRead logic to fix bug that update read override predict read result 49693b51ff0SHuSipeng val ftb = Module(new SplittedSRAMTemplate( 497cf7d6b7aSMuzi new FTBEntryWithTag, 498cf7d6b7aSMuzi set = numSets, 499cf7d6b7aSMuzi way = numWays, 500721555e1SHuSipeng dataSplit = 8, 501cf7d6b7aSMuzi shouldReset = true, 502cf7d6b7aSMuzi holdRead = false, 50339d55402Spengxiao singlePort = true, 50493b51ff0SHuSipeng withClockGate = true, 505*30f35717Scz4e hasMbist = hasMbist, 506*30f35717Scz4e hasSramCtl = hasSramCtl 507cf7d6b7aSMuzi )) 5084b2c87baS梁森 Liang Sen private val mbistPl = MbistPipeline.PlaceMbistPipeline(1, "MbistPipeFtb", hasMbist) 50936638515SEaston Man val ftb_r_entries = ftb.io.r.resp.data.map(_.entry) 51009c6f1ddSLingrui98 5113bfc01b0SEaston Man val pred_rdata = HoldUnless( 5123bfc01b0SEaston Man ftb.io.r.resp.data, 5133bfc01b0SEaston Man RegNext(io.req_pc.valid && !io.update_access), 5143bfc01b0SEaston Man init = Some(VecInit.fill(numWays)(0.U.asTypeOf(new FTBEntryWithTag))) 5153bfc01b0SEaston Man ) // rdata has ftb_entry.valid, shoud reset 51636638515SEaston Man ftb.io.r.req.valid := io.req_pc.valid || io.u_req_pc.valid // io.s0_fire 517cf7d6b7aSMuzi ftb.io.r.req.bits.setIdx := Mux( 518cf7d6b7aSMuzi io.u_req_pc.valid, 519cf7d6b7aSMuzi ftbAddr.getIdx(io.u_req_pc.bits), 520cf7d6b7aSMuzi ftbAddr.getIdx(io.req_pc.bits) 521cf7d6b7aSMuzi ) // s0_idx 5221c8d9e26Szoujr 5231c8d9e26Szoujr assert(!(io.req_pc.valid && io.u_req_pc.valid)) 52409c6f1ddSLingrui98 52536638515SEaston Man io.req_pc.ready := ftb.io.r.req.ready 52636638515SEaston Man io.u_req_pc.ready := ftb.io.r.req.ready 52709c6f1ddSLingrui98 5285f89ba0bSEaston Man val req_tag = RegEnable(ftbAddr.getTag(io.req_pc.bits)(tagLength - 1, 0), io.req_pc.valid) 529ac3f6f25Szoujr val req_idx = RegEnable(ftbAddr.getIdx(io.req_pc.bits), io.req_pc.valid) 53009c6f1ddSLingrui98 5315f89ba0bSEaston Man val u_req_tag = RegEnable(ftbAddr.getTag(io.u_req_pc.bits)(tagLength - 1, 0), io.u_req_pc.valid) 53209c6f1ddSLingrui98 5331c8d9e26Szoujr val read_entries = pred_rdata.map(_.entry) 5341c8d9e26Szoujr val read_tags = pred_rdata.map(_.tag) 5351c8d9e26Szoujr 536cf7d6b7aSMuzi val total_hits = 537cf7d6b7aSMuzi VecInit((0 until numWays).map(b => read_tags(b) === req_tag && read_entries(b).valid && io.s1_fire)) 53809c6f1ddSLingrui98 val hit = total_hits.reduce(_ || _) 539bb09c7feSzoujr // val hit_way_1h = VecInit(PriorityEncoderOH(total_hits)) 540ab890bfeSLingrui98 val hit_way = OHToUInt(total_hits) 54109c6f1ddSLingrui98 542fd3aa057SYuandongliang // There may be two hits in the four paths of the ftbBank, and the OHToUInt will fail. 543fd3aa057SYuandongliang // If there is a redirect in s2 at this time, the wrong FTBEntry will be used to calculate the target, 544fd3aa057SYuandongliang // resulting in an address error and affecting performance. 545fd3aa057SYuandongliang // The solution is to select a hit entry during multi hit as the entry for s2. 546fd3aa057SYuandongliang // Considering timing, use this entry in s3 and trigger s3-redirect. 547fd3aa057SYuandongliang val total_hits_reg = RegEnable(total_hits, io.s1_fire) 548fd3aa057SYuandongliang val read_entries_reg = read_entries.map(w => RegEnable(w, io.s1_fire)) 549fd3aa057SYuandongliang 550fd3aa057SYuandongliang val multi_hit = VecInit((0 until numWays).map { 551cf7d6b7aSMuzi i => 552cf7d6b7aSMuzi (0 until numWays).map { j => 553fd3aa057SYuandongliang if (i < j) total_hits_reg(i) && total_hits_reg(j) 554fd3aa057SYuandongliang else false.B 555cf7d6b7aSMuzi }.reduce(_ || _) 556fd3aa057SYuandongliang }).reduce(_ || _) 557cf7d6b7aSMuzi val multi_way = PriorityMux(Seq.tabulate(numWays)(i => (total_hits_reg(i)) -> i.asUInt(log2Ceil(numWays).W))) 558cf7d6b7aSMuzi val multi_hit_selectEntry = PriorityMux(Seq.tabulate(numWays)(i => (total_hits_reg(i)) -> read_entries_reg(i))) 559fd3aa057SYuandongliang 560cabb9f41SYuandongliang // Check if the entry read by ftbBank is legal. 561cabb9f41SYuandongliang for (n <- 0 to numWays - 1) { 562cabb9f41SYuandongliang val req_pc_reg = RegEnable(io.req_pc.bits, 0.U.asTypeOf(io.req_pc.bits), io.req_pc.valid) 563cabb9f41SYuandongliang val req_pc_reg_lower = Cat(0.U(1.W), req_pc_reg(instOffsetBits + log2Ceil(PredictWidth) - 1, instOffsetBits)) 564cabb9f41SYuandongliang val ftbEntryEndLowerwithCarry = Cat(read_entries(n).carry, read_entries(n).pftAddr) 565cf7d6b7aSMuzi val fallThroughErr = req_pc_reg_lower + PredictWidth.U >= ftbEntryEndLowerwithCarry 566cabb9f41SYuandongliang when(read_entries(n).valid && total_hits(n) && io.s1_fire) { 567cabb9f41SYuandongliang assert(fallThroughErr, s"FTB read sram entry in way${n} fallThrough address error!") 568cabb9f41SYuandongliang } 569cabb9f41SYuandongliang } 570cabb9f41SYuandongliang 5711c8d9e26Szoujr val u_total_hits = VecInit((0 until numWays).map(b => 572cf7d6b7aSMuzi ftb.io.r.resp.data(b).tag === u_req_tag && ftb.io.r.resp.data(b).entry.valid && RegNext(io.update_access) 573cf7d6b7aSMuzi )) 5741c8d9e26Szoujr val u_hit = u_total_hits.reduce(_ || _) 5751c8d9e26Szoujr // val hit_way_1h = VecInit(PriorityEncoderOH(total_hits)) 576ab890bfeSLingrui98 val u_hit_way = OHToUInt(u_total_hits) 5771c8d9e26Szoujr 578ccd953deSSteve Gou // assert(PopCount(total_hits) === 1.U || PopCount(total_hits) === 0.U) 579ccd953deSSteve Gou // assert(PopCount(u_total_hits) === 1.U || PopCount(u_total_hits) === 0.U) 580ccd953deSSteve Gou for (n <- 1 to numWays) { 581ccd953deSSteve Gou XSPerfAccumulate(f"ftb_pred_${n}_way_hit", PopCount(total_hits) === n.U) 582ccd953deSSteve Gou XSPerfAccumulate(f"ftb_update_${n}_way_hit", PopCount(u_total_hits) === n.U) 583ccd953deSSteve Gou } 58409c6f1ddSLingrui98 585ac3f6f25Szoujr val replacer = ReplacementPolicy.fromString(Some("setplru"), numWays, numSets) 586c6bf0bffSzoujr // val allocWriteWay = replacer.way(req_idx) 58709c6f1ddSLingrui98 588ac3f6f25Szoujr val touch_set = Seq.fill(1)(Wire(UInt(log2Ceil(numSets).W))) 589ac3f6f25Szoujr val touch_way = Seq.fill(1)(Wire(Valid(UInt(log2Ceil(numWays).W)))) 590ac3f6f25Szoujr 591a788562dSSteve Gou val write_set = Wire(UInt(log2Ceil(numSets).W)) 592a788562dSSteve Gou val write_way = Wire(Valid(UInt(log2Ceil(numWays).W))) 593ac3f6f25Szoujr 594a788562dSSteve Gou val read_set = Wire(UInt(log2Ceil(numSets).W)) 595a788562dSSteve Gou val read_way = Wire(Valid(UInt(log2Ceil(numWays).W))) 596a788562dSSteve Gou 597a788562dSSteve Gou read_set := req_idx 598a788562dSSteve Gou read_way.valid := hit 599a788562dSSteve Gou read_way.bits := hit_way 600a788562dSSteve Gou 60121bd6001SEaston Man // Read replacer access is postponed for 1 cycle 60221bd6001SEaston Man // this helps timing 60321bd6001SEaston Man touch_set(0) := Mux(write_way.valid, write_set, RegNext(read_set)) 60421bd6001SEaston Man touch_way(0).valid := write_way.valid || RegNext(read_way.valid) 60521bd6001SEaston Man touch_way(0).bits := Mux(write_way.valid, write_way.bits, RegNext(read_way.bits)) 606ac3f6f25Szoujr 607c6bf0bffSzoujr replacer.access(touch_set, touch_way) 608c6bf0bffSzoujr 60921bd6001SEaston Man // Select the update allocate way 61021bd6001SEaston Man // Selection logic: 61121bd6001SEaston Man // 1. if any entries within the same index is not valid, select it 61221bd6001SEaston Man // 2. if all entries is valid, use replacer 613cf7d6b7aSMuzi def allocWay(valids: UInt, idx: UInt): UInt = 61409c6f1ddSLingrui98 if (numWays > 1) { 61509c6f1ddSLingrui98 val w = Wire(UInt(log2Up(numWays).W)) 61609c6f1ddSLingrui98 val valid = WireInit(valids.andR) 6175371700eSzoujr w := Mux(valid, replacer.way(idx), PriorityEncoder(~valids)) 61809c6f1ddSLingrui98 w 61909c6f1ddSLingrui98 } else { 62002f21c16SLingrui98 val w = WireInit(0.U(log2Up(numWays).W)) 62109c6f1ddSLingrui98 w 62209c6f1ddSLingrui98 } 62309c6f1ddSLingrui98 624ab890bfeSLingrui98 io.read_resp := Mux1H(total_hits, read_entries) // Mux1H 62509c6f1ddSLingrui98 io.read_hits.valid := hit 6265371700eSzoujr io.read_hits.bits := hit_way 62709c6f1ddSLingrui98 628fd3aa057SYuandongliang io.read_multi_entry := multi_hit_selectEntry 629fd3aa057SYuandongliang io.read_multi_hits.valid := multi_hit 630fd3aa057SYuandongliang io.read_multi_hits.bits := multi_way 631fd3aa057SYuandongliang 6321c8d9e26Szoujr io.update_hits.valid := u_hit 6331c8d9e26Szoujr io.update_hits.bits := u_hit_way 6341c8d9e26Szoujr 63509c6f1ddSLingrui98 // Update logic 63609c6f1ddSLingrui98 val u_valid = io.update_write_data.valid 63709c6f1ddSLingrui98 val u_data = io.update_write_data.bits 63809c6f1ddSLingrui98 val u_idx = ftbAddr.getIdx(io.update_pc) 63902f21c16SLingrui98 val allocWriteWay = allocWay(RegNext(VecInit(ftb_r_entries.map(_.valid))).asUInt, u_idx) 64002f21c16SLingrui98 val u_way = Mux(io.update_write_alloc, allocWriteWay, io.update_write_way) 64102f21c16SLingrui98 val u_mask = UIntToOH(u_way) 642c6bf0bffSzoujr 643c6bf0bffSzoujr for (i <- 0 until numWays) { 64402f21c16SLingrui98 XSPerfAccumulate(f"ftb_replace_way$i", u_valid && io.update_write_alloc && u_way === i.U) 645cf7d6b7aSMuzi XSPerfAccumulate( 646cf7d6b7aSMuzi f"ftb_replace_way${i}_has_empty", 647cf7d6b7aSMuzi u_valid && io.update_write_alloc && !ftb_r_entries.map(_.valid).reduce(_ && _) && u_way === i.U 648cf7d6b7aSMuzi ) 6495371700eSzoujr XSPerfAccumulate(f"ftb_hit_way$i", hit && !io.update_access && hit_way === i.U) 650c6bf0bffSzoujr } 65109c6f1ddSLingrui98 65236638515SEaston Man ftb.io.w.apply(u_valid, u_data, u_idx, u_mask) 653eeb5ff92SLingrui98 654a788562dSSteve Gou // for replacer 655f4e1af07SLingrui98 write_set := u_idx 656f4e1af07SLingrui98 write_way.valid := u_valid 657f4e1af07SLingrui98 write_way.bits := Mux(io.update_write_alloc, allocWriteWay, io.update_write_way) 658a788562dSSteve Gou 659eeb5ff92SLingrui98 // print hit entry info 66036638515SEaston Man Mux1H(total_hits, ftb.io.r.resp.data).display(true.B) 66109c6f1ddSLingrui98 } // FTBBank 66209c6f1ddSLingrui98 663fd3aa057SYuandongliang // FTB switch register & temporary storage of fauftb prediction results 664fd3aa057SYuandongliang val s0_close_ftb_req = RegInit(false.B) 665fd3aa057SYuandongliang val s1_close_ftb_req = RegEnable(s0_close_ftb_req, false.B, io.s0_fire(0)) 666fd3aa057SYuandongliang val s2_close_ftb_req = RegEnable(s1_close_ftb_req, false.B, io.s1_fire(0)) 667fd3aa057SYuandongliang val s2_fauftb_ftb_entry_dup = io.s1_fire.map(f => RegEnable(io.fauftb_entry_in, f)) 668fd3aa057SYuandongliang val s2_fauftb_ftb_entry_hit_dup = io.s1_fire.map(f => RegEnable(io.fauftb_entry_hit_in, f)) 669fd3aa057SYuandongliang 67009c6f1ddSLingrui98 val ftbBank = Module(new FTBBank(numSets, numWays)) 67109c6f1ddSLingrui98 672fd3aa057SYuandongliang // for close ftb read_req 673fd3aa057SYuandongliang ftbBank.io.req_pc.valid := io.s0_fire(0) && !s0_close_ftb_req 674adc0b8dfSGuokai Chen ftbBank.io.req_pc.bits := s0_pc_dup(0) 67509c6f1ddSLingrui98 676fd3aa057SYuandongliang val s2_multi_hit = ftbBank.io.read_multi_hits.valid && io.s2_fire(0) 677fd3aa057SYuandongliang val s2_multi_hit_way = ftbBank.io.read_multi_hits.bits 678fd3aa057SYuandongliang val s2_multi_hit_entry = ftbBank.io.read_multi_entry 679cabb9f41SYuandongliang val s2_multi_hit_enable = s2_multi_hit && !s2_close_ftb_req 680fd3aa057SYuandongliang XSPerfAccumulate("ftb_s2_multi_hit", s2_multi_hit) 681fd3aa057SYuandongliang XSPerfAccumulate("ftb_s2_multi_hit_enable", s2_multi_hit_enable) 682adc0b8dfSGuokai Chen 683fd3aa057SYuandongliang // After closing ftb, the entry output from s2 is the entry of FauFTB cached in s1 684fd3aa057SYuandongliang val btb_enable_dup = dup(RegNext(io.ctrl.btb_enable)) 685fd3aa057SYuandongliang val s1_read_resp = Mux(s1_close_ftb_req, io.fauftb_entry_in, ftbBank.io.read_resp) 686fd3aa057SYuandongliang val s2_ftbBank_dup = io.s1_fire.map(f => RegEnable(ftbBank.io.read_resp, f)) 687fd3aa057SYuandongliang val s2_ftb_entry_dup = dup(0.U.asTypeOf(new FTBEntry)) 688cf7d6b7aSMuzi for ( 689cf7d6b7aSMuzi ((s2_fauftb_entry, s2_ftbBank_entry), s2_ftb_entry) <- 690cf7d6b7aSMuzi s2_fauftb_ftb_entry_dup zip s2_ftbBank_dup zip s2_ftb_entry_dup 691cf7d6b7aSMuzi ) { 692fd3aa057SYuandongliang s2_ftb_entry := Mux(s2_close_ftb_req, s2_fauftb_entry, s2_ftbBank_entry) 693fd3aa057SYuandongliang } 694cf7d6b7aSMuzi val s3_ftb_entry_dup = io.s2_fire.zip(s2_ftb_entry_dup).map { case (f, e) => 695cf7d6b7aSMuzi RegEnable(Mux(s2_multi_hit_enable, s2_multi_hit_entry, e), f) 696cf7d6b7aSMuzi } 6979402431eSmy-mayfly val real_s2_ftb_entry = Mux(s2_multi_hit_enable, s2_multi_hit_entry, s2_ftb_entry_dup(0)) 6989402431eSmy-mayfly val real_s2_pc = s2_pc_dup(0).getAddr() 6999402431eSmy-mayfly val real_s2_startLower = Cat(0.U(1.W), real_s2_pc(instOffsetBits + log2Ceil(PredictWidth) - 1, instOffsetBits)) 7009402431eSmy-mayfly val real_s2_endLowerwithCarry = Cat(real_s2_ftb_entry.carry, real_s2_ftb_entry.pftAddr) 701cf7d6b7aSMuzi val real_s2_fallThroughErr = 702cf7d6b7aSMuzi real_s2_startLower >= real_s2_endLowerwithCarry || real_s2_endLowerwithCarry > (real_s2_startLower + PredictWidth.U) 703cf7d6b7aSMuzi val real_s3_fallThroughErr_dup = io.s2_fire.map(f => RegEnable(real_s2_fallThroughErr, f)) 704fd3aa057SYuandongliang 705fd3aa057SYuandongliang // After closing ftb, the hit output from s2 is the hit of FauFTB cached in s1. 706fd3aa057SYuandongliang // s1_hit is the ftbBank hit. 707fd3aa057SYuandongliang val s1_hit = Mux(s1_close_ftb_req, false.B, ftbBank.io.read_hits.valid && io.ctrl.btb_enable) 708fd3aa057SYuandongliang val s2_ftb_hit_dup = io.s1_fire.map(f => RegEnable(s1_hit, 0.B, f)) 709fd3aa057SYuandongliang val s2_hit_dup = dup(0.U.asTypeOf(Bool())) 710cf7d6b7aSMuzi for ( 711cf7d6b7aSMuzi ((s2_fauftb_hit, s2_ftb_hit), s2_hit) <- 712cf7d6b7aSMuzi s2_fauftb_ftb_entry_hit_dup zip s2_ftb_hit_dup zip s2_hit_dup 713cf7d6b7aSMuzi ) { 714fd3aa057SYuandongliang s2_hit := Mux(s2_close_ftb_req, s2_fauftb_hit, s2_ftb_hit) 715fd3aa057SYuandongliang } 716cf7d6b7aSMuzi val s3_hit_dup = io.s2_fire.zip(s2_hit_dup).map { case (f, h) => 717cf7d6b7aSMuzi RegEnable(Mux(s2_multi_hit_enable, s2_multi_hit, h), 0.B, f) 718cf7d6b7aSMuzi } 7199402431eSmy-mayfly val s3_multi_hit_dup = io.s2_fire.map(f => RegEnable(s2_multi_hit_enable, f)) 720fd3aa057SYuandongliang val writeWay = Mux(s1_close_ftb_req, 0.U, ftbBank.io.read_hits.bits) 721fd3aa057SYuandongliang val s2_ftb_meta = RegEnable(FTBMeta(writeWay.asUInt, s1_hit, GTimer()).asUInt, io.s1_fire(0)) 722fd3aa057SYuandongliang val s2_multi_hit_meta = FTBMeta(s2_multi_hit_way.asUInt, s2_multi_hit, GTimer()).asUInt 723fd3aa057SYuandongliang 724fd3aa057SYuandongliang // Consistent count of entries for fauftb and ftb 725fd3aa057SYuandongliang val fauftb_ftb_entry_consistent_counter = RegInit(0.U(FTBCLOSE_THRESHOLD_SZ.W)) 726fd3aa057SYuandongliang val fauftb_ftb_entry_consistent = s2_fauftb_ftb_entry_dup(0).entryConsistent(s2_ftbBank_dup(0)) 727fd3aa057SYuandongliang 728fd3aa057SYuandongliang // if close ftb_req, the counter need keep 729fd3aa057SYuandongliang when(io.s2_fire(0) && s2_fauftb_ftb_entry_hit_dup(0) && s2_ftb_hit_dup(0)) { 730cf7d6b7aSMuzi fauftb_ftb_entry_consistent_counter := Mux( 731cf7d6b7aSMuzi fauftb_ftb_entry_consistent, 732cf7d6b7aSMuzi fauftb_ftb_entry_consistent_counter + 1.U, 733cf7d6b7aSMuzi 0.U 734cf7d6b7aSMuzi ) 735fd3aa057SYuandongliang }.elsewhen(io.s2_fire(0) && !s2_fauftb_ftb_entry_hit_dup(0) && s2_ftb_hit_dup(0)) { 736fd3aa057SYuandongliang fauftb_ftb_entry_consistent_counter := 0.U 737fd3aa057SYuandongliang } 738fd3aa057SYuandongliang 739fd3aa057SYuandongliang when((fauftb_ftb_entry_consistent_counter >= FTBCLOSE_THRESHOLD) && io.s0_fire(0)) { 740fd3aa057SYuandongliang s0_close_ftb_req := true.B 741fd3aa057SYuandongliang } 742fd3aa057SYuandongliang 74303426fe2Spengxiao val update_valid = RegNext(io.update.valid, init = false.B) 74403426fe2Spengxiao val update = Wire(new BranchPredictionUpdate) 74503426fe2Spengxiao update := RegEnable(io.update.bits, io.update.valid) 74603426fe2Spengxiao 74703426fe2Spengxiao // The pc register has been moved outside of predictor, pc field of update bundle and other update data are not in the same stage 74803426fe2Spengxiao // so io.update.bits.pc is used directly here 74903426fe2Spengxiao val update_pc = io.update.bits.pc 75003426fe2Spengxiao 75103426fe2Spengxiao // To improve Clock Gating Efficiency 75203426fe2Spengxiao update.meta := RegEnable(io.update.bits.meta, io.update.valid && !io.update.bits.old_entry) 75303426fe2Spengxiao 754fd3aa057SYuandongliang // Clear counter during false_hit or ifuRedirect 755fd3aa057SYuandongliang val ftb_false_hit = WireInit(false.B) 756fd3aa057SYuandongliang val needReopen = s0_close_ftb_req && (ftb_false_hit || io.redirectFromIFU) 75703426fe2Spengxiao ftb_false_hit := update_valid && update.false_hit 758fd3aa057SYuandongliang when(needReopen) { 759fd3aa057SYuandongliang fauftb_ftb_entry_consistent_counter := 0.U 760fd3aa057SYuandongliang s0_close_ftb_req := false.B 761fd3aa057SYuandongliang } 762fd3aa057SYuandongliang 763fd3aa057SYuandongliang val s2_close_consistent = s2_fauftb_ftb_entry_dup(0).entryConsistent(s2_ftb_entry_dup(0)) 764fd3aa057SYuandongliang val s2_not_close_consistent = s2_ftbBank_dup(0).entryConsistent(s2_ftb_entry_dup(0)) 765fd3aa057SYuandongliang 766fd3aa057SYuandongliang when(s2_close_ftb_req && io.s2_fire(0)) { 767fd3aa057SYuandongliang assert(s2_close_consistent, s"Entry inconsistency after ftb req is closed!") 768fd3aa057SYuandongliang }.elsewhen(!s2_close_ftb_req && io.s2_fire(0)) { 769fd3aa057SYuandongliang assert(s2_not_close_consistent, s"Entry inconsistency after ftb req is not closed!") 770fd3aa057SYuandongliang } 771fd3aa057SYuandongliang 772fd3aa057SYuandongliang val reopenCounter = !s1_close_ftb_req && s2_close_ftb_req && io.s2_fire(0) 773fd3aa057SYuandongliang val falseHitReopenCounter = ftb_false_hit && s1_close_ftb_req 774fd3aa057SYuandongliang XSPerfAccumulate("ftb_req_reopen_counter", reopenCounter) 775fd3aa057SYuandongliang XSPerfAccumulate("false_hit_reopen_Counter", falseHitReopenCounter) 776fd3aa057SYuandongliang XSPerfAccumulate("ifuRedirec_needReopen", s1_close_ftb_req && io.redirectFromIFU) 777fd3aa057SYuandongliang XSPerfAccumulate("this_cycle_is_close", s2_close_ftb_req && io.s2_fire(0)) 778fd3aa057SYuandongliang XSPerfAccumulate("this_cycle_is_open", !s2_close_ftb_req && io.s2_fire(0)) 77909c6f1ddSLingrui98 78009c6f1ddSLingrui98 // io.out.bits.resp := RegEnable(io.in.bits.resp_in(0), 0.U.asTypeOf(new BranchPredictionResp), io.s1_fire) 781c2d1ec7dSLingrui98 io.out := io.in.bits.resp_in(0) 78209c6f1ddSLingrui98 783fd3aa057SYuandongliang io.out.s2.full_pred.map { case fp => fp.multiHit := false.B } 784fd3aa057SYuandongliang 785adc0b8dfSGuokai Chen io.out.s2.full_pred.zip(s2_hit_dup).map { case (fp, h) => fp.hit := h } 786cf7d6b7aSMuzi for ( 787cf7d6b7aSMuzi full_pred & s2_ftb_entry & s2_pc & s1_pc & s1_fire <- 788cf7d6b7aSMuzi io.out.s2.full_pred zip s2_ftb_entry_dup zip s2_pc_dup zip s1_pc_dup zip io.s1_fire 789cf7d6b7aSMuzi ) { 790cf7d6b7aSMuzi full_pred.fromFtbEntry( 791cf7d6b7aSMuzi s2_ftb_entry, 792ae21bd31SEaston Man s2_pc.getAddr(), 79347c003a9SEaston Man // Previous stage meta for better timing 79447c003a9SEaston Man Some(s1_pc, s1_fire), 795fd3aa057SYuandongliang Some(s1_read_resp, s1_fire) 79647c003a9SEaston Man ) 797adc0b8dfSGuokai Chen } 79809c6f1ddSLingrui98 799adc0b8dfSGuokai Chen io.out.s3.full_pred.zip(s3_hit_dup).map { case (fp, h) => fp.hit := h } 8009402431eSmy-mayfly io.out.s3.full_pred.zip(s3_multi_hit_dup).map { case (fp, m) => fp.multiHit := m } 801cf7d6b7aSMuzi for ( 802cf7d6b7aSMuzi full_pred & s3_ftb_entry & s3_pc & s2_pc & s2_fire <- 803cf7d6b7aSMuzi io.out.s3.full_pred zip s3_ftb_entry_dup zip s3_pc_dup zip s2_pc_dup zip io.s2_fire 804cf7d6b7aSMuzi ) 805ae21bd31SEaston Man full_pred.fromFtbEntry(s3_ftb_entry, s3_pc.getAddr(), Some((s2_pc.getAddr(), s2_fire))) 806cb4f77ceSLingrui98 807a1c30bb9Smy-mayfly // Overwrite the fallThroughErr value 808a1c30bb9Smy-mayfly io.out.s3.full_pred.zipWithIndex.map { case (fp, i) => fp.fallThroughErr := real_s3_fallThroughErr_dup(i) } 809a1c30bb9Smy-mayfly 810adc0b8dfSGuokai Chen io.out.last_stage_ftb_entry := s3_ftb_entry_dup(0) 811fd3aa057SYuandongliang io.out.last_stage_meta := RegEnable(Mux(s2_multi_hit_enable, s2_multi_hit_meta, s2_ftb_meta), io.s2_fire(0)) 812c4a59f19SYuandongliang io.out.s1_ftbCloseReq := s1_close_ftb_req 813c4a59f19SYuandongliang io.out.s1_uftbHit := io.fauftb_entry_hit_in 814c4a59f19SYuandongliang val s1_uftbHasIndirect = io.fauftb_entry_in.jmpValid && 815c4a59f19SYuandongliang io.fauftb_entry_in.isJalr && !io.fauftb_entry_in.isRet // uFTB determines that it's real JALR, RET and JAL are excluded 816c4a59f19SYuandongliang io.out.s1_uftbHasIndirect := s1_uftbHasIndirect 81709c6f1ddSLingrui98 81809c6f1ddSLingrui98 // always taken logic 81909c6f1ddSLingrui98 for (i <- 0 until numBr) { 820cf7d6b7aSMuzi for ( 821cf7d6b7aSMuzi out_fp & in_fp & s2_hit & s2_ftb_entry <- 822cf7d6b7aSMuzi io.out.s2.full_pred zip io.in.bits.resp_in(0).s2.full_pred zip s2_hit_dup zip s2_ftb_entry_dup 823cf7d6b7aSMuzi ) 824dcf4211fSYuandongliang out_fp.br_taken_mask(i) := in_fp.br_taken_mask(i) || s2_hit && s2_ftb_entry.strong_bias(i) 825cf7d6b7aSMuzi for ( 826cf7d6b7aSMuzi out_fp & in_fp & s3_hit & s3_ftb_entry <- 827cf7d6b7aSMuzi io.out.s3.full_pred zip io.in.bits.resp_in(0).s3.full_pred zip s3_hit_dup zip s3_ftb_entry_dup 828cf7d6b7aSMuzi ) 829dcf4211fSYuandongliang out_fp.br_taken_mask(i) := in_fp.br_taken_mask(i) || s3_hit && s3_ftb_entry.strong_bias(i) 83009c6f1ddSLingrui98 } 83109c6f1ddSLingrui98 832e9d45a69SYuandongliang val s3_pc_diff = s3_pc_dup(0).getAddr() 833e9d45a69SYuandongliang val s3_pc_startLower = Cat(0.U(1.W), s3_pc_diff(instOffsetBits + log2Ceil(PredictWidth) - 1, instOffsetBits)) 834e9d45a69SYuandongliang val s3_ftb_entry_endLowerwithCarry = Cat(s3_ftb_entry_dup(0).carry, s3_ftb_entry_dup(0).pftAddr) 835e9d45a69SYuandongliang val fallThroughErr = 836e9d45a69SYuandongliang s3_pc_startLower >= s3_ftb_entry_endLowerwithCarry || s3_ftb_entry_endLowerwithCarry > (s3_pc_startLower + PredictWidth.U) 837e9d45a69SYuandongliang XSError( 838e9d45a69SYuandongliang s3_ftb_entry_dup(0).valid && s3_hit_dup(0) && io.s3_fire(0) && fallThroughErr, 839e9d45a69SYuandongliang "FTB read sram entry in s3 fallThrough address error!" 840e9d45a69SYuandongliang ) 841e9d45a69SYuandongliang 84209c6f1ddSLingrui98 // Update logic 84309c6f1ddSLingrui98 val u_meta = update.meta.asTypeOf(new FTBMeta) 84403426fe2Spengxiao val u_valid = update_valid && !update.old_entry && !s0_close_ftb_req 845bb09c7feSzoujr 84603426fe2Spengxiao val (_, delay2_pc) = DelayNWithValid(update_pc, u_valid, 2) 8477af6acb0SEaston Man val (_, delay2_entry) = DelayNWithValid(update.ftb_entry, u_valid, 2) 848bb09c7feSzoujr 849c6bf0bffSzoujr val update_now = u_valid && u_meta.hit 85002f21c16SLingrui98 val update_need_read = u_valid && !u_meta.hit 85102f21c16SLingrui98 // stall one more cycle because we use a whole cycle to do update read tag hit 852cf7d6b7aSMuzi io.s1_ready := ftbBank.io.req_pc.ready && !update_need_read && !RegNext(update_need_read) 853c6bf0bffSzoujr 85402f21c16SLingrui98 ftbBank.io.u_req_pc.valid := update_need_read 85503426fe2Spengxiao ftbBank.io.u_req_pc.bits := update_pc 856bb09c7feSzoujr 85709c6f1ddSLingrui98 val ftb_write = Wire(new FTBEntryWithTag) 85802f21c16SLingrui98 ftb_write.entry := Mux(update_now, update.ftb_entry, delay2_entry) 85903426fe2Spengxiao ftb_write.tag := ftbAddr.getTag(Mux(update_now, update_pc, delay2_pc))(tagLength - 1, 0) 86009c6f1ddSLingrui98 86102f21c16SLingrui98 val write_valid = update_now || DelayN(u_valid && !u_meta.hit, 2) 86203426fe2Spengxiao val write_pc = Mux(update_now, update_pc, delay2_pc) 863c6bf0bffSzoujr 864c6bf0bffSzoujr ftbBank.io.update_write_data.valid := write_valid 86509c6f1ddSLingrui98 ftbBank.io.update_write_data.bits := ftb_write 866fd3aa057SYuandongliang ftbBank.io.update_pc := write_pc 867cf7d6b7aSMuzi ftbBank.io.update_write_way := Mux( 868cf7d6b7aSMuzi update_now, 869cf7d6b7aSMuzi u_meta.writeWay, 870cf7d6b7aSMuzi RegNext(ftbBank.io.update_hits.bits) 871cf7d6b7aSMuzi ) // use it one cycle later 872cf7d6b7aSMuzi ftbBank.io.update_write_alloc := Mux( 873cf7d6b7aSMuzi update_now, 874cf7d6b7aSMuzi false.B, 875cf7d6b7aSMuzi RegNext(!ftbBank.io.update_hits.valid) 876cf7d6b7aSMuzi ) // use it one cycle later 8771c8d9e26Szoujr ftbBank.io.update_access := u_valid && !u_meta.hit 878adc0b8dfSGuokai Chen ftbBank.io.s1_fire := io.s1_fire(0) 87909c6f1ddSLingrui98 880fd3aa057SYuandongliang val ftb_write_fallThrough = ftb_write.entry.getFallThrough(write_pc) 881fd3aa057SYuandongliang when(write_valid) { 882fd3aa057SYuandongliang assert(write_pc + (FetchWidth * 4).U >= ftb_write_fallThrough, s"FTB write_entry fallThrough address error!") 883fd3aa057SYuandongliang } 884fd3aa057SYuandongliang 885adc0b8dfSGuokai Chen XSDebug("req_v=%b, req_pc=%x, ready=%b (resp at next cycle)\n", io.s0_fire(0), s0_pc_dup(0), ftbBank.io.req_pc.ready) 886adc0b8dfSGuokai Chen XSDebug("s2_hit=%b, hit_way=%b\n", s2_hit_dup(0), writeWay.asUInt) 887cf7d6b7aSMuzi XSDebug( 888cf7d6b7aSMuzi "s2_br_taken_mask=%b, s2_real_taken_mask=%b\n", 889cf7d6b7aSMuzi io.in.bits.resp_in(0).s2.full_pred(0).br_taken_mask.asUInt, 890cf7d6b7aSMuzi io.out.s2.full_pred(0).real_slot_taken_mask().asUInt 891cf7d6b7aSMuzi ) 892adc0b8dfSGuokai Chen XSDebug("s2_target=%x\n", io.out.s2.getTarget(0)) 89309c6f1ddSLingrui98 894adc0b8dfSGuokai Chen s2_ftb_entry_dup(0).display(true.B) 89509c6f1ddSLingrui98 896adc0b8dfSGuokai Chen XSPerfAccumulate("ftb_read_hits", RegNext(io.s0_fire(0)) && s1_hit) 897adc0b8dfSGuokai Chen XSPerfAccumulate("ftb_read_misses", RegNext(io.s0_fire(0)) && !s1_hit) 89809c6f1ddSLingrui98 89903426fe2Spengxiao XSPerfAccumulate("ftb_commit_hits", update_valid && u_meta.hit) 90003426fe2Spengxiao XSPerfAccumulate("ftb_commit_misses", update_valid && !u_meta.hit) 90109c6f1ddSLingrui98 90203426fe2Spengxiao XSPerfAccumulate("ftb_update_req", update_valid) 90303426fe2Spengxiao XSPerfAccumulate("ftb_update_ignored", update_valid && update.old_entry) 90409c6f1ddSLingrui98 XSPerfAccumulate("ftb_updated", u_valid) 90520ee0fb0SYuandongliang XSPerfAccumulate("ftb_closing_update_counter", s0_close_ftb_req && u_valid) 906cd365d4cSrvcoresjw 9074813e060SLingrui98 override val perfEvents = Seq( 90803426fe2Spengxiao ("ftb_commit_hits ", update_valid && u_meta.hit), 90903426fe2Spengxiao ("ftb_commit_misses ", update_valid && !u_meta.hit) 910cd365d4cSrvcoresjw ) 9111ca0e4f3SYinan Xu generatePerfEvent() 91209c6f1ddSLingrui98} 913