xref: /XiangShan/src/main/scala/xiangshan/frontend/BPU.scala (revision cceb7f67ec40b2b24157a6de26aa1aebdae47892)
1package xiangshan.frontend
2
3import chisel3._
4import chisel3.util._
5import xiangshan._
6import xiangshan.utils._
7import xiangshan.backend.ALUOpType
8import utils._
9
10class TableAddr(val idxBits: Int, val banks: Int) extends XSBundle {
11  def tagBits = VAddrBits - idxBits - 2
12
13  val tag = UInt(tagBits.W)
14  val idx = UInt(idxBits.W)
15  val offset = UInt(2.W)
16
17  def fromUInt(x: UInt) = x.asTypeOf(UInt(VAddrBits.W)).asTypeOf(this)
18  def getTag(x: UInt) = fromUInt(x).tag
19  def getIdx(x: UInt) = fromUInt(x).idx
20  def getBank(x: UInt) = getIdx(x)(log2Up(banks) - 1, 0)
21  def getBankIdx(x: UInt) = getIdx(x)(idxBits - 1, log2Up(banks))
22}
23
24class Stage1To2IO extends XSBundle {
25  val pc = Output(UInt(VAddrBits.W))
26  val btb = new Bundle {
27    val hits = Output(UInt(FetchWidth.W))
28    val targets = Output(Vec(FetchWidth, UInt(VAddrBits.W)))
29  }
30  val jbtac = new Bundle {
31    val hitIdx = Output(UInt(FetchWidth.W))
32    val target = Output(UInt(VAddrBits.W))
33  }
34  val tage = new Bundle {
35    val hits = Output(UInt(FetchWidth.W))
36    val takens = Output(Vec(FetchWidth, Bool()))
37  }
38  val hist = Output(Vec(FetchWidth, UInt(HistoryLength.W)))
39  val btbPred = ValidIO(new BranchPrediction)
40}
41
42class BPUStage1 extends XSModule {
43  val io = IO(new Bundle() {
44    val in = new Bundle { val pc = Flipped(Decoupled(UInt(VAddrBits.W))) }
45    // from backend
46    val redirectInfo = Input(new RedirectInfo)
47    // from Stage3
48    val flush = Input(Bool())
49    val s3RollBackHist = Input(UInt(HistoryLength.W))
50    val s3Taken = Input(Bool())
51    // to ifu, quick prediction result
52    val s1OutPred = ValidIO(new BranchPrediction)
53    // to Stage2
54    val out = Decoupled(new Stage1To2IO)
55  })
56
57  // flush Stage1 when io.flush
58  val flushS1 = BoolStopWatch(io.flush, io.in.pc.fire(), startHighPriority = true)
59
60  // global history register
61  val ghr = RegInit(0.U(HistoryLength.W))
62  // modify updateGhr and newGhr when updating ghr
63  val updateGhr = WireInit(false.B)
64  val newGhr = WireInit(0.U(HistoryLength.W))
65  when (updateGhr) { ghr := newGhr }
66  // use hist as global history!!!
67  val hist = Mux(updateGhr, newGhr, ghr)
68
69  // Tage predictor
70  // val tage = Module(new FakeTAGE)
71  val tage = Module(new Tage)
72  tage.io.req.valid := io.in.pc.fire()
73  tage.io.req.bits.pc := io.in.pc.bits
74  tage.io.req.bits.hist := hist
75  tage.io.redirectInfo <> io.redirectInfo
76  io.out.bits.tage <> tage.io.out
77  io.s1OutPred.bits.tageMeta := tage.io.meta
78
79  // BTB
80  val btbAddr = new TableAddr(log2Up(BtbSets), BtbBanks)
81  val predictWidth = FetchWidth
82  def btbDataEntry() = new Bundle {
83    val valid = Bool()
84    val target = UInt(VAddrBits.W)
85    val pred = UInt(2.W) // 2-bit saturated counter as a quick predictor
86    val _type = UInt(2.W)
87    val offset = UInt(offsetBits().W) // Could be zero
88
89    def offsetBits() = log2Up(FetchWidth / predictWidth)
90  }
91  def btbMetaEntry() = new Bundle {
92    val valid = Bool()
93    // TODO: don't need full length of tag
94    val tag = UInt(btbAddr.tagBits.W)
95  }
96
97  val btbMeta = List.fill(BtbWays)(List.fill(BtbBanks)(
98    Module(new SRAMTemplate(btbMetaEntry(), set = BtbSets / BtbBanks, way = 1, shouldReset = true, holdRead = true))
99  ))
100  val btbData = List.fill(BtbWays)(List.fill(BtbBanks)(
101    Module(new SRAMTemplate(btbDataEntry(), set = BtbSets / BtbBanks, way = predictWidth, shouldReset = true, holdRead = true))
102  ))
103
104  // BTB read requests
105  // read addr comes from pc[6:2]
106  // read 4 ways in parallel
107  (0 until BtbWays).map(
108    w => (0 until BtbBanks).map(
109      b => {
110        btbMeta(w)(b).reset := reset.asBool
111        btbMeta(w)(b).io.r.req.valid := io.in.pc.fire() && b.U === btbAddr.getBank(io.in.pc.bits)
112        btbMeta(w)(b).io.r.req.bits.setIdx := btbAddr.getBankIdx(io.in.pc.bits)
113        btbData(w)(b).reset := reset.asBool
114        btbData(w)(b).io.r.req.valid := io.in.pc.fire() && b.U === btbAddr.getBank(io.in.pc.bits)
115        btbData(w)(b).io.r.req.bits.setIdx := btbAddr.getBankIdx(io.in.pc.bits)
116      }
117    )
118  )
119
120  // latch pc for 1 cycle latency when reading SRAM
121  val pcLatch = RegEnable(io.in.pc.bits, io.in.pc.fire())
122  // Entries read from SRAM
123  val btbMetaRead = Wire(Vec(BtbWays, btbMetaEntry()))
124  val btbDataRead = Wire(Vec(BtbWays, Vec(predictWidth, btbDataEntry())))
125  val btbReadFire = Wire(Vec(BtbWays, Vec(BtbBanks, Bool())))
126  // 1/4 hit
127  val btbWayHits = Wire(Vec(BtbWays, Bool()))
128
129  // #(predictWidth) results
130  val btbTargets = Wire(Vec(predictWidth, UInt(VAddrBits.W)))
131  val btbTypes = Wire(Vec(predictWidth, UInt(2.W)))
132  // val btbPreds = Wire(Vec(FetchWidth, UInt(2.W)))
133  val btbCtrs = Wire(Vec(predictWidth, UInt(2.W)))
134  val btbTakens = Wire(Vec(predictWidth, Bool()))
135  val btbValids = Wire(Vec(predictWidth, Bool()))
136
137  val btbHitWay = Wire(UInt(log2Up(BtbWays).W))
138  val btbHitBank = btbAddr.getBank(pcLatch)
139
140  btbMetaRead := DontCare
141  btbDataRead := DontCare
142  for (w <- 0 until BtbWays) {
143    for (b <- 0 until BtbBanks) {
144      when (b.U === btbHitBank) {
145        btbMetaRead(w) := btbMeta(w)(b).io.r.resp.data(0)
146        (0 until predictWidth).map(i => btbDataRead(w)(i) := btbData(w)(b).io.r.resp.data(i))
147      }
148    }
149  }
150
151  btbWayHits := 0.U.asTypeOf(Vec(BtbWays, Bool()))
152  btbValids := 0.U.asTypeOf(Vec(predictWidth, Bool()))
153  btbTargets := DontCare
154  btbCtrs := DontCare
155  btbTakens := DontCare
156  btbTypes := DontCare
157  for (w <- 0 until BtbWays) {
158    for (b <- 0 until BtbBanks) { btbReadFire(w)(b) := btbMeta(w)(b).io.r.req.fire() && btbData(w)(b).io.r.req.fire() }
159    when (btbMetaRead(w).valid && btbMetaRead(w).tag === btbAddr.getTag(pcLatch)) {
160      btbWayHits(w) := !flushS1 && RegNext(btbReadFire(w)(btbHitBank), init = false.B)
161      for (i <- 0 until predictWidth) {
162        btbValids(i) := btbDataRead(w)(i).valid
163        btbTargets(i) := btbDataRead(w)(i).target
164        btbCtrs(i) := btbDataRead(w)(i).pred
165        btbTakens(i) := (btbDataRead(w)(i).pred)(1).asBool
166        btbTypes(i) := btbDataRead(w)(i)._type
167      }
168    }
169  }
170
171  val btbHit = btbWayHits.reduce(_|_)
172  btbHitWay := OHToUInt(HighestBit(btbWayHits.asUInt, BtbWays))
173
174  // Priority mux which corresponds with inst orders
175  // BTB only produce one single prediction
176  val btbJumps = Wire(Vec(predictWidth, Bool()))
177  (0 until predictWidth).map(i => btbJumps(i) := btbValids(i) && (btbTypes(i) === BTBtype.J || btbTypes(i) === BTBtype.B && btbTakens(i)))
178  val btbTakenTarget = MuxCase(0.U, btbJumps zip btbTargets)
179  val btbTakenType   = MuxCase(0.U, btbJumps zip btbTypes)
180  val btbTaken       = btbJumps.reduce(_|_)
181  // Record which inst is predicted taken
182  val btbTakenIdx = MuxCase(0.U, btbJumps zip (0 until predictWidth).map(_.U))
183
184  // JBTAC, divided into 8 banks, makes prediction for indirect jump except ret.
185  val jbtacAddr = new TableAddr(log2Up(JbtacSize), JbtacBanks)
186  def jbtacEntry() = new Bundle {
187    val valid = Bool()
188    // TODO: don't need full length of tag and target
189    val tag = UInt(jbtacAddr.tagBits.W)
190    val target = UInt(VAddrBits.W)
191    val offset = UInt(log2Up(FetchWidth).W)
192  }
193
194  val jbtac = List.fill(JbtacBanks)(Module(new SRAMTemplate(jbtacEntry(), set = JbtacSize / JbtacBanks, shouldReset = true, holdRead = true, singlePort = false)))
195
196  val jbtacRead = Wire(Vec(JbtacBanks, jbtacEntry()))
197
198  val jbtacFire = Reg(Vec(JbtacBanks, Bool()))
199  // Only read one bank
200  val histXORAddr = io.in.pc.bits ^ Cat(hist, 0.U(2.W))(VAddrBits - 1, 0)
201  val histXORAddrLatch = RegEnable(histXORAddr, io.in.pc.valid)
202  jbtacFire := 0.U.asTypeOf(Vec(JbtacBanks, Bool()))
203  (0 until JbtacBanks).map(
204    b => {
205      jbtac(b).reset := reset.asBool
206      jbtac(b).io.r.req.valid := io.in.pc.fire() && b.U === jbtacAddr.getBank(histXORAddr)
207      jbtac(b).io.r.req.bits.setIdx := jbtacAddr.getBankIdx(histXORAddr)
208      jbtacFire(b) := jbtac(b).io.r.req.fire()
209      jbtacRead(b) := jbtac(b).io.r.resp.data(0)
210    }
211  )
212
213  val jbtacBank = jbtacAddr.getBank(histXORAddrLatch)
214  val jbtacHit = jbtacRead(jbtacBank).valid && jbtacRead(jbtacBank).tag === jbtacAddr.getTag(pcLatch) && !flushS1 && jbtacFire(jbtacBank)
215  val jbtacHitIdx = jbtacRead(jbtacBank).offset
216  val jbtacTarget = jbtacRead(jbtacBank).target
217
218  // choose one way as victim way
219  val btbWayInvalids = Cat(btbMetaRead.map(e => !e.valid)).asUInt
220  val victim = Mux(btbHit, btbHitWay, Mux(btbWayInvalids.orR, OHToUInt(LowestBit(btbWayInvalids, BtbWays)), LFSR64()(log2Up(BtbWays) - 1, 0)))
221
222  // calculate global history of each instr
223  val firstHist = RegNext(hist)
224  val histShift = Wire(Vec(FetchWidth, UInt(log2Up(FetchWidth).W)))
225  val btbNotTakens = Wire(Vec(FetchWidth, Bool()))
226  (0 until FetchWidth).map(i => btbNotTakens(i) := btbValids(i) && btbTypes(i) === BTBtype.B && !btbCtrs(1))
227  val shift = Wire(Vec(FetchWidth, Vec(FetchWidth, UInt(1.W))))
228  (0 until FetchWidth).map(i => shift(i) := Mux(!btbNotTakens(i), 0.U, ~LowerMask(UIntToOH(i.U), FetchWidth)).asTypeOf(Vec(FetchWidth, UInt(1.W))))
229  for (j <- 0 until FetchWidth) {
230    var tmp = 0.U
231    for (i <- 0 until FetchWidth) {
232      tmp = tmp + shift(i)(j)
233    }
234    histShift(j) := tmp
235  }
236  (0 until FetchWidth).map(i => io.s1OutPred.bits.hist(i) := firstHist << histShift(i))
237
238  // update btb, jbtac, ghr
239  val r = io.redirectInfo.redirect
240  val updateFetchpc = r.pc - r.fetchIdx << 2.U
241  val updateMisPred = io.redirectInfo.misPred
242  val updateFetchIdx = r.fetchIdx
243  val updateVictimWay = r.btbVictimWay
244  val updateOldCtr = r.btbPredCtr
245  // 1. update btb
246  // 1.1 calculate new 2-bit saturated counter value
247  val newPredCtr = Mux(!r.btbHitWay, "b01".U, Mux(r.taken, Mux(updateOldCtr === "b11".U, "b11".U, updateOldCtr + 1.U),
248                                                           Mux(updateOldCtr === "b00".U, "b00".U, updateOldCtr - 1.U)))
249  // 1.2 write btb
250  val updateBank = btbAddr.getBank(updateFetchpc)
251  val updateBankIdx = btbAddr.getBankIdx(updateFetchpc)
252  val updateWaymask = UIntToOH(updateFetchIdx)
253  val btbMetaWrite = Wire(btbMetaEntry())
254  btbMetaWrite.valid := true.B
255  btbMetaWrite.tag := btbAddr.getTag(updateFetchpc)
256  val btbDataWrite = Wire(btbDataEntry())
257  btbDataWrite.valid := true.B
258  btbDataWrite.target := r.brTarget
259  btbDataWrite.pred := newPredCtr
260  btbDataWrite._type := r._type
261  btbDataWrite.offset := DontCare
262  val btbWriteValid = io.redirectInfo.valid && (r._type === BTBtype.B || r._type === BTBtype.J)
263
264  for (w <- 0 until BtbWays) {
265    for (b <- 0 until BtbBanks) {
266      // println(s"${btbData(w)(b).io.w.req.bits.waymask.nonEmpty}")
267      when (b.U === updateBank && w.U === updateVictimWay) {
268        btbMeta(w)(b).io.w.req.valid := btbWriteValid
269        btbMeta(w)(b).io.w.req.bits.setIdx := updateBankIdx
270        btbMeta(w)(b).io.w.req.bits.data := btbMetaWrite
271        btbData(w)(b).io.w.req.valid := btbWriteValid
272        btbData(w)(b).io.w.req.bits.setIdx := updateBankIdx
273        btbData(w)(b).io.w.req.bits.waymask.map(_ := updateWaymask)
274        btbData(w)(b).io.w.req.bits.data := btbDataWrite
275      }.otherwise {
276        btbMeta(w)(b).io.w.req.valid := false.B
277        btbMeta(w)(b).io.w.req.bits.setIdx := DontCare
278        btbMeta(w)(b).io.w.req.bits.data := DontCare
279        btbData(w)(b).io.w.req.valid := false.B
280        btbData(w)(b).io.w.req.bits.setIdx := DontCare
281        btbData(w)(b).io.w.req.bits.waymask.map(_ := 0.U)
282        btbData(w)(b).io.w.req.bits.data := DontCare
283      }
284    }
285  }
286
287  // 2. update jbtac
288  val jbtacWrite = Wire(jbtacEntry())
289  val updateHistXORAddr = updateFetchpc ^ Cat(r.hist, 0.U(2.W))(VAddrBits - 1, 0)
290  jbtacWrite.valid := true.B
291  jbtacWrite.tag := jbtacAddr.getTag(updateFetchpc)
292  jbtacWrite.target := r.target
293  jbtacWrite.offset := updateFetchIdx
294  for (b <- 0 until JbtacBanks) {
295    when (b.U === jbtacAddr.getBank(updateHistXORAddr)) {
296      jbtac(b).io.w.req.valid := io.redirectInfo.valid && updateMisPred && r._type === BTBtype.I
297      jbtac(b).io.w.req.bits.setIdx := jbtacAddr.getBankIdx(updateHistXORAddr)
298      jbtac(b).io.w.req.bits.data := jbtacWrite
299    }.otherwise {
300      jbtac(b).io.w.req.valid := false.B
301      jbtac(b).io.w.req.bits.setIdx := DontCare
302      jbtac(b).io.w.req.bits.data := DontCare
303    }
304  }
305
306  // 3. update ghr
307  updateGhr := io.s1OutPred.bits.redirect || io.flush
308  val brJumpIdx = Mux(!(btbHit && btbTaken), 0.U, UIntToOH(btbTakenIdx))
309  val indirectIdx = Mux(!jbtacHit, 0.U, UIntToOH(jbtacHitIdx))
310  //val newTaken = Mux(io.redirectInfo.flush(), !(r._type === BTBtype.B && !r.taken), )
311  newGhr := Mux(io.redirectInfo.flush(),    (r.hist << 1.U) | !(r._type === BTBtype.B && !r.taken),
312            Mux(io.flush,                   Mux(io.s3Taken, io.s3RollBackHist << 1.U | 1.U, io.s3RollBackHist),
313            Mux(io.s1OutPred.bits.redirect, PriorityMux(brJumpIdx | indirectIdx, io.s1OutPred.bits.hist) << 1.U | 1.U,
314                                            io.s1OutPred.bits.hist(0) << PopCount(btbNotTakens))))
315
316  // redirect based on BTB and JBTAC
317  io.out.valid := RegNext(io.in.pc.fire()) && !flushS1
318
319  io.s1OutPred.valid := io.out.valid
320  io.s1OutPred.bits.redirect := btbHit && btbTaken || jbtacHit
321  // io.s1OutPred.bits.instrValid := LowerMask(UIntToOH(btbTakenIdx), FetchWidth) & LowerMask(UIntToOH(jbtacHitIdx), FetchWidth)
322  io.s1OutPred.bits.instrValid := Mux(io.s1OutPred.bits.redirect, LowerMask(LowestBit(brJumpIdx | indirectIdx, FetchWidth), FetchWidth), Fill(FetchWidth, 1.U(1.W))).asTypeOf(Vec(FetchWidth, Bool()))
323  io.s1OutPred.bits.target := Mux(brJumpIdx === LowestBit(brJumpIdx | indirectIdx, FetchWidth), btbTakenTarget, jbtacTarget)
324  io.s1OutPred.bits.btbVictimWay := victim
325  io.s1OutPred.bits.predCtr := btbCtrs
326  io.s1OutPred.bits.btbHitWay := btbHit
327  io.s1OutPred.bits.rasSp := DontCare
328  io.s1OutPred.bits.rasTopCtr := DontCare
329
330  io.out.bits.pc := pcLatch
331  io.out.bits.btb.hits := btbValids.asUInt
332  (0 until FetchWidth).map(i => io.out.bits.btb.targets(i) := btbTargets(i))
333  io.out.bits.jbtac.hitIdx := UIntToOH(jbtacHitIdx)
334  io.out.bits.jbtac.target := jbtacTarget
335  // TODO: we don't need this repeatedly!
336  io.out.bits.hist := io.s1OutPred.bits.hist
337  io.out.bits.btbPred := io.s1OutPred
338
339  io.in.pc.ready := true.B
340
341  // debug info
342  XSDebug(true.B, "[BPUS1]in:(%d %d)   pc=%x ghr=%b\n", io.in.pc.valid, io.in.pc.ready, io.in.pc.bits, hist)
343  XSDebug(true.B, "[BPUS1]outPred:(%d) redirect=%d instrValid=%b tgt=%x\n",
344    io.s1OutPred.valid, io.s1OutPred.bits.redirect, io.s1OutPred.bits.instrValid.asUInt, io.s1OutPred.bits.target)
345  XSDebug(io.flush && io.redirectInfo.flush(),
346    "[BPUS1]flush from backend: pc=%x tgt=%x brTgt=%x _type=%b taken=%d oldHist=%b fetchIdx=%d isExcpt=%d\n",
347    r.pc, r.target, r.brTarget, r._type, r.taken, r.hist, r.fetchIdx, r.isException)
348  XSDebug(io.flush && !io.redirectInfo.flush(),
349    "[BPUS1]flush from Stage3:  s3Taken=%d s3RollBackHist=%b\n", io.s3Taken, io.s3RollBackHist)
350
351}
352
353class Stage2To3IO extends Stage1To2IO {
354}
355
356class BPUStage2 extends XSModule {
357  val io = IO(new Bundle() {
358    // flush from Stage3
359    val flush = Input(Bool())
360    val in = Flipped(Decoupled(new Stage1To2IO))
361    val out = Decoupled(new Stage2To3IO)
362  })
363
364  // flush Stage2 when Stage3 or banckend redirects
365  val flushS2 = BoolStopWatch(io.flush, io.in.fire(), startHighPriority = true)
366  io.out.valid := !flushS2 && RegNext(io.in.fire())
367  io.in.ready := !io.out.valid || io.out.fire()
368
369  // do nothing
370  io.out.bits := RegEnable(io.in.bits, io.in.fire())
371
372  // debug info
373  XSDebug(true.B, "[BPUS2]in:(%d %d) pc=%x out:(%d %d) pc=%x\n",
374    io.in.valid, io.in.ready, io.in.bits.pc, io.out.valid, io.out.ready, io.out.bits.pc)
375  XSDebug(io.flush, "[BPUS2]flush!!!\n")
376}
377
378class BPUStage3 extends XSModule {
379  val io = IO(new Bundle() {
380    val flush = Input(Bool())
381    val in = Flipped(Decoupled(new Stage2To3IO))
382    val out = ValidIO(new BranchPrediction)
383    // from icache
384    val predecode = Flipped(ValidIO(new Predecode))
385    // from backend
386    val redirectInfo = Input(new RedirectInfo)
387    // to Stage1 and Stage2
388    val flushBPU = Output(Bool())
389    // to Stage1, restore ghr in stage1 when flushBPU is valid
390    val s1RollBackHist = Output(UInt(HistoryLength.W))
391    val s3Taken = Output(Bool())
392  })
393
394  val flushS3 = BoolStopWatch(io.flush, io.in.fire(), startHighPriority = true)
395  val inLatch = RegInit(0.U.asTypeOf(io.in.bits))
396  val validLatch = RegInit(false.B)
397  when (io.in.fire()) { inLatch := io.in.bits }
398  when (io.in.fire()) {
399    validLatch := !io.flush
400  }.elsewhen (io.out.valid) {
401    validLatch := false.B
402  }
403  io.out.valid := validLatch && io.predecode.valid && !flushS3
404  io.in.ready := !validLatch || io.out.valid
405
406  // RAS
407  // TODO: split retAddr and ctr
408  def rasEntry() = new Bundle {
409    val retAddr = UInt(VAddrBits.W)
410    val ctr = UInt(8.W) // layer of nested call functions
411  }
412  val ras = RegInit(VecInit(Seq.fill(RasSize)(0.U.asTypeOf(rasEntry()))))
413  val sp = Counter(RasSize)
414  val rasTop = ras(sp.value)
415  val rasTopAddr = rasTop.retAddr
416
417  // get the first taken branch/jal/call/jalr/ret in a fetch line
418  // brTakenIdx/jalIdx/callIdx/jalrIdx/retIdx/jmpIdx is one-hot encoded.
419  // brNotTakenIdx indicates all the not-taken branches before the first jump instruction.
420  val brIdx = inLatch.btb.hits & Cat(io.predecode.bits.fuOpTypes.map { t => ALUOpType.isBranch(t) }).asUInt & io.predecode.bits.mask
421  val brTakenIdx = LowestBit(brIdx & inLatch.tage.takens.asUInt, FetchWidth)
422  val jalIdx = LowestBit(inLatch.btb.hits & Cat(io.predecode.bits.fuOpTypes.map { t => t === ALUOpType.jal }).asUInt & io.predecode.bits.mask, FetchWidth)
423  val callIdx = LowestBit(inLatch.btb.hits & io.predecode.bits.mask & Cat(io.predecode.bits.fuOpTypes.map { t => t === ALUOpType.call }).asUInt, FetchWidth)
424  val jalrIdx = LowestBit(inLatch.jbtac.hitIdx & io.predecode.bits.mask & Cat(io.predecode.bits.fuOpTypes.map { t => t === ALUOpType.jalr }).asUInt, FetchWidth)
425  val retIdx = LowestBit(io.predecode.bits.mask & Cat(io.predecode.bits.fuOpTypes.map { t => t === ALUOpType.ret }).asUInt, FetchWidth)
426
427  val jmpIdx = LowestBit(brTakenIdx | jalIdx | callIdx | jalrIdx | retIdx, FetchWidth)
428  val brNotTakenIdx = brIdx & ~inLatch.tage.takens.asUInt & LowerMask(jmpIdx, FetchWidth) & io.predecode.bits.mask
429
430  io.out.bits.redirect := jmpIdx.orR.asBool
431  io.out.bits.target := Mux(jmpIdx === retIdx, rasTopAddr,
432    Mux(jmpIdx === jalrIdx, inLatch.jbtac.target,
433    Mux(jmpIdx === 0.U, inLatch.pc + 32.U, // TODO: RVC
434    PriorityMux(jmpIdx, inLatch.btb.targets))))
435  io.out.bits.instrValid := Mux(jmpIdx.orR, LowerMask(jmpIdx, FetchWidth), Fill(FetchWidth, 1.U(1.W))).asTypeOf(Vec(FetchWidth, Bool()))
436  io.out.bits.btbVictimWay := inLatch.btbPred.bits.btbVictimWay
437  io.out.bits.predCtr := inLatch.btbPred.bits.predCtr
438  io.out.bits.btbHitWay := inLatch.btbPred.bits.btbHitWay
439  io.out.bits.tageMeta := inLatch.btbPred.bits.tageMeta
440  //io.out.bits._type := Mux(jmpIdx === retIdx, BTBtype.R,
441  //  Mux(jmpIdx === jalrIdx, BTBtype.I,
442  //  Mux(jmpIdx === brTakenIdx, BTBtype.B, BTBtype.J)))
443  val firstHist = inLatch.btbPred.bits.hist(0)
444  // there may be several notTaken branches before the first jump instruction,
445  // so we need to calculate how many zeroes should each instruction shift in its global history.
446  // each history is exclusive of instruction's own jump direction.
447  val histShift = Wire(Vec(FetchWidth, UInt(log2Up(FetchWidth).W)))
448  val shift = Wire(Vec(FetchWidth, Vec(FetchWidth, UInt(1.W))))
449  (0 until FetchWidth).map(i => shift(i) := Mux(!brNotTakenIdx(i), 0.U, ~LowerMask(UIntToOH(i.U), FetchWidth)).asTypeOf(Vec(FetchWidth, UInt(1.W))))
450  for (j <- 0 until FetchWidth) {
451    var tmp = 0.U
452    for (i <- 0 until FetchWidth) {
453      tmp = tmp + shift(i)(j)
454    }
455    histShift(j) := tmp
456  }
457  (0 until FetchWidth).map(i => io.out.bits.hist(i) := firstHist << histShift(i))
458  // save ras checkpoint info
459  io.out.bits.rasSp := sp.value
460  io.out.bits.rasTopCtr := rasTop.ctr
461
462  // flush BPU and redirect when target differs from the target predicted in Stage1
463  io.out.bits.redirect := !inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool ||
464    inLatch.btbPred.bits.redirect && jmpIdx.orR.asBool && io.out.bits.target =/= inLatch.btbPred.bits.target
465  io.flushBPU := io.out.bits.redirect && io.out.valid
466
467  // speculative update RAS
468  val rasWrite = WireInit(0.U.asTypeOf(rasEntry()))
469  rasWrite.retAddr := inLatch.pc + OHToUInt(callIdx) << 2.U + 4.U
470  val allocNewEntry = rasWrite.retAddr =/= rasTopAddr
471  rasWrite.ctr := Mux(allocNewEntry, 1.U, rasTop.ctr + 1.U)
472  when (io.out.valid) {
473    when (jmpIdx === callIdx) {
474      ras(Mux(allocNewEntry, sp.value + 1.U, sp.value)) := rasWrite
475      when (allocNewEntry) { sp.value := sp.value + 1.U }
476    }.elsewhen (jmpIdx === retIdx) {
477      when (rasTop.ctr === 1.U) {
478        sp.value := Mux(sp.value === 0.U, 0.U, sp.value - 1.U)
479      }.otherwise {
480        ras(sp.value) := Cat(rasTop.ctr - 1.U, rasTopAddr).asTypeOf(rasEntry())
481      }
482    }
483  }
484  // use checkpoint to recover RAS
485  val recoverSp = io.redirectInfo.redirect.rasSp
486  val recoverCtr = io.redirectInfo.redirect.rasTopCtr
487  when (io.redirectInfo.valid && io.redirectInfo.misPred) {
488    sp.value := recoverSp
489    ras(recoverSp) := Cat(recoverCtr, ras(recoverSp).retAddr).asTypeOf(rasEntry())
490  }
491
492  // roll back global history in S1 if S3 redirects
493  io.s1RollBackHist := Mux(io.s3Taken, PriorityMux(jmpIdx, io.out.bits.hist), io.out.bits.hist(0) << PopCount(brIdx & ~inLatch.tage.takens.asUInt))
494  // whether Stage3 has a taken jump
495  io.s3Taken := jmpIdx.orR.asBool
496
497  // debug info
498  XSDebug(true.B, "[BPUS3]in:(%d %d) pc=%x\n", io.in.valid, io.in.ready, io.in.bits.pc)
499  XSDebug(true.B, "[BPUS3]out:%d pc=%x redirect=%d predcdMask=%b instrValid=%b tgt=%x\n",
500    io.out.valid, inLatch.pc, io.out.bits.redirect, io.predecode.bits.mask, io.out.bits.instrValid.asUInt, io.out.bits.target)
501}
502
503class BPU extends XSModule {
504  val io = IO(new Bundle() {
505    // from backend
506    // flush pipeline if misPred and update bpu based on redirect signals from brq
507    val redirectInfo = Input(new RedirectInfo)
508
509    val in = new Bundle { val pc = Flipped(Valid(UInt(VAddrBits.W))) }
510
511    val btbOut = ValidIO(new BranchPrediction)
512    val tageOut = ValidIO(new BranchPrediction)
513
514    // predecode info from icache
515    // TODO: simplify this after implement predecode unit
516    val predecode = Flipped(ValidIO(new Predecode))
517  })
518
519  val s1 = Module(new BPUStage1)
520  val s2 = Module(new BPUStage2)
521  val s3 = Module(new BPUStage3)
522
523  s1.io.redirectInfo <> io.redirectInfo
524  s1.io.flush := s3.io.flushBPU || io.redirectInfo.flush()
525  s1.io.in.pc.valid := io.in.pc.valid
526  s1.io.in.pc.bits <> io.in.pc.bits
527  io.btbOut <> s1.io.s1OutPred
528  s1.io.s3RollBackHist := s3.io.s1RollBackHist
529  s1.io.s3Taken := s3.io.s3Taken
530
531  s1.io.out <> s2.io.in
532  s2.io.flush := s3.io.flushBPU || io.redirectInfo.flush()
533
534  s2.io.out <> s3.io.in
535  s3.io.flush := io.redirectInfo.flush()
536  s3.io.predecode <> io.predecode
537  io.tageOut <> s3.io.out
538  s3.io.redirectInfo <> io.redirectInfo
539}