1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.frontend 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.experimental.chiselName 22import chisel3.util._ 23import xiangshan._ 24import utils._ 25import utility._ 26 27import scala.math.min 28 29trait HasBPUConst extends HasXSParameter { 30 val MaxMetaLength = if (!env.FPGAPlatform) 512 else 256 // TODO: Reduce meta length 31 val MaxBasicBlockSize = 32 32 val LHistoryLength = 32 33 // val numBr = 2 34 val useBPD = true 35 val useLHist = true 36 val numBrSlot = numBr-1 37 val totalSlot = numBrSlot + 1 38 39 def BP_STAGES = (0 until 3).map(_.U(2.W)) 40 def BP_S1 = BP_STAGES(0) 41 def BP_S2 = BP_STAGES(1) 42 def BP_S3 = BP_STAGES(2) 43 val numBpStages = BP_STAGES.length 44 45 val debug = true 46 // TODO: Replace log2Up by log2Ceil 47} 48 49trait HasBPUParameter extends HasXSParameter with HasBPUConst { 50 val BPUDebug = true && !env.FPGAPlatform && env.EnablePerfDebug 51 val EnableCFICommitLog = true 52 val EnbaleCFIPredLog = true 53 val EnableBPUTimeRecord = (EnableCFICommitLog || EnbaleCFIPredLog) && !env.FPGAPlatform 54 val EnableCommit = false 55} 56 57class BPUCtrl(implicit p: Parameters) extends XSBundle { 58 val ubtb_enable = Bool() 59 val btb_enable = Bool() 60 val bim_enable = Bool() 61 val tage_enable = Bool() 62 val sc_enable = Bool() 63 val ras_enable = Bool() 64 val loop_enable = Bool() 65} 66 67trait BPUUtils extends HasXSParameter { 68 // circular shifting 69 def circularShiftLeft(source: UInt, len: Int, shamt: UInt): UInt = { 70 val res = Wire(UInt(len.W)) 71 val higher = source << shamt 72 val lower = source >> (len.U - shamt) 73 res := higher | lower 74 res 75 } 76 77 def circularShiftRight(source: UInt, len: Int, shamt: UInt): UInt = { 78 val res = Wire(UInt(len.W)) 79 val higher = source << (len.U - shamt) 80 val lower = source >> shamt 81 res := higher | lower 82 res 83 } 84 85 // To be verified 86 def satUpdate(old: UInt, len: Int, taken: Bool): UInt = { 87 val oldSatTaken = old === ((1 << len)-1).U 88 val oldSatNotTaken = old === 0.U 89 Mux(oldSatTaken && taken, ((1 << len)-1).U, 90 Mux(oldSatNotTaken && !taken, 0.U, 91 Mux(taken, old + 1.U, old - 1.U))) 92 } 93 94 def signedSatUpdate(old: SInt, len: Int, taken: Bool): SInt = { 95 val oldSatTaken = old === ((1 << (len-1))-1).S 96 val oldSatNotTaken = old === (-(1 << (len-1))).S 97 Mux(oldSatTaken && taken, ((1 << (len-1))-1).S, 98 Mux(oldSatNotTaken && !taken, (-(1 << (len-1))).S, 99 Mux(taken, old + 1.S, old - 1.S))) 100 } 101 102 def getFallThroughAddr(start: UInt, carry: Bool, pft: UInt) = { 103 val higher = start.head(VAddrBits-log2Ceil(PredictWidth)-instOffsetBits) 104 Cat(Mux(carry, higher+1.U, higher), pft, 0.U(instOffsetBits.W)) 105 } 106 107 def foldTag(tag: UInt, l: Int): UInt = { 108 val nChunks = (tag.getWidth + l - 1) / l 109 val chunks = (0 until nChunks).map { i => 110 tag(min((i+1)*l, tag.getWidth)-1, i*l) 111 } 112 ParallelXOR(chunks) 113 } 114} 115 116// class BranchPredictionUpdate(implicit p: Parameters) extends XSBundle with HasBPUConst { 117// val pc = UInt(VAddrBits.W) 118// val br_offset = Vec(num_br, UInt(log2Up(MaxBasicBlockSize).W)) 119// val br_mask = Vec(MaxBasicBlockSize, Bool()) 120// 121// val jmp_valid = Bool() 122// val jmp_type = UInt(3.W) 123// 124// val is_NextMask = Vec(FetchWidth*2, Bool()) 125// 126// val cfi_idx = Valid(UInt(log2Ceil(MaxBasicBlockSize).W)) 127// val cfi_mispredict = Bool() 128// val cfi_is_br = Bool() 129// val cfi_is_jal = Bool() 130// val cfi_is_jalr = Bool() 131// 132// val ghist = new ShiftingGlobalHistory() 133// 134// val target = UInt(VAddrBits.W) 135// 136// val meta = UInt(MaxMetaLength.W) 137// val spec_meta = UInt(MaxMetaLength.W) 138// 139// def taken = cfi_idx.valid 140// } 141 142 143class BasePredictorInput (implicit p: Parameters) extends XSBundle with HasBPUConst { 144 def nInputs = 1 145 146 val s0_pc = UInt(VAddrBits.W) 147 148 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 149 val ghist = UInt(HistoryLength.W) 150 151 val resp_in = Vec(nInputs, new BranchPredictionResp) 152 153 // val final_preds = Vec(numBpStages, new) 154 // val toFtq_fire = Bool() 155 156 // val s0_all_ready = Bool() 157} 158 159class BasePredictorOutput (implicit p: Parameters) extends BranchPredictionResp {} 160 161class BasePredictorIO (implicit p: Parameters) extends XSBundle with HasBPUConst { 162 val reset_vector = Input(UInt(PAddrBits.W)) 163 val in = Flipped(DecoupledIO(new BasePredictorInput)) // TODO: Remove DecoupledIO 164 // val out = DecoupledIO(new BasePredictorOutput) 165 val out = Output(new BasePredictorOutput) 166 // val flush_out = Valid(UInt(VAddrBits.W)) 167 168 val ctrl = Input(new BPUCtrl) 169 170 val s0_fire = Input(Bool()) 171 val s1_fire = Input(Bool()) 172 val s2_fire = Input(Bool()) 173 val s3_fire = Input(Bool()) 174 175 val s2_redirect = Input(Bool()) 176 val s3_redirect = Input(Bool()) 177 178 val s1_ready = Output(Bool()) 179 val s2_ready = Output(Bool()) 180 val s3_ready = Output(Bool()) 181 182 val update = Flipped(Valid(new BranchPredictionUpdate)) 183 val redirect = Flipped(Valid(new BranchPredictionRedirect)) 184} 185 186abstract class BasePredictor(implicit p: Parameters) extends XSModule 187 with HasBPUConst with BPUUtils with HasPerfEvents { 188 val meta_size = 0 189 val spec_meta_size = 0 190 val is_fast_pred = false 191 val io = IO(new BasePredictorIO()) 192 193 io.out := io.in.bits.resp_in(0) 194 195 io.out.last_stage_meta := 0.U 196 197 io.in.ready := !io.redirect.valid 198 199 io.s1_ready := true.B 200 io.s2_ready := true.B 201 io.s3_ready := true.B 202 203 val reset_vector = DelayN(io.reset_vector, 5) 204 val s0_pc = WireInit(io.in.bits.s0_pc) // fetchIdx(io.f0_pc) 205 val s1_pc = RegEnable(s0_pc, io.s0_fire) 206 val s2_pc = RegEnable(s1_pc, io.s1_fire) 207 val s3_pc = RegEnable(s2_pc, io.s2_fire) 208 209 when (RegNext(RegNext(reset.asBool) && !reset.asBool)) { 210 s1_pc := reset_vector 211 } 212 213 io.out.s1.pc := s1_pc 214 io.out.s2.pc := s2_pc 215 io.out.s3.pc := s3_pc 216 217 val perfEvents: Seq[(String, UInt)] = Seq() 218 219 220 def getFoldedHistoryInfo: Option[Set[FoldedHistoryInfo]] = None 221} 222 223class FakePredictor(implicit p: Parameters) extends BasePredictor { 224 io.in.ready := true.B 225 io.out.last_stage_meta := 0.U 226 io.out := io.in.bits.resp_in(0) 227} 228 229class BpuToFtqIO(implicit p: Parameters) extends XSBundle { 230 val resp = DecoupledIO(new BpuToFtqBundle()) 231} 232 233class PredictorIO(implicit p: Parameters) extends XSBundle { 234 val bpu_to_ftq = new BpuToFtqIO() 235 val ftq_to_bpu = Flipped(new FtqToBpuIO()) 236 val ctrl = Input(new BPUCtrl) 237 val reset_vector = Input(UInt(PAddrBits.W)) 238} 239 240@chiselName 241class Predictor(implicit p: Parameters) extends XSModule with HasBPUConst with HasPerfEvents with HasCircularQueuePtrHelper { 242 val io = IO(new PredictorIO) 243 244 val ctrl = DelayN(io.ctrl, 1) 245 val predictors = Module(if (useBPD) new Composer else new FakePredictor) 246 247 // ctrl signal 248 predictors.io.ctrl := ctrl 249 predictors.io.reset_vector := io.reset_vector 250 251 val s0_fire, s1_fire, s2_fire, s3_fire = Wire(Bool()) 252 val s1_valid, s2_valid, s3_valid = RegInit(false.B) 253 val s1_ready, s2_ready, s3_ready = Wire(Bool()) 254 val s1_components_ready, s2_components_ready, s3_components_ready = Wire(Bool()) 255 256 val reset_vector = DelayN(io.reset_vector, 5) 257 val s0_pc = Wire(UInt(VAddrBits.W)) 258 val s0_pc_reg = RegNext(s0_pc) 259 when (RegNext(RegNext(reset.asBool) && !reset.asBool)) { 260 s0_pc_reg := reset_vector 261 } 262 val s1_pc = RegEnable(s0_pc, s0_fire) 263 val s2_pc = RegEnable(s1_pc, s1_fire) 264 val s3_pc = RegEnable(s2_pc, s2_fire) 265 266 val s0_folded_gh = Wire(new AllFoldedHistories(foldedGHistInfos)) 267 val s0_folded_gh_reg = RegNext(s0_folded_gh, 0.U.asTypeOf(s0_folded_gh)) 268 val s1_folded_gh = RegEnable(s0_folded_gh, 0.U.asTypeOf(s0_folded_gh), s0_fire) 269 val s2_folded_gh = RegEnable(s1_folded_gh, 0.U.asTypeOf(s0_folded_gh), s1_fire) 270 val s3_folded_gh = RegEnable(s2_folded_gh, 0.U.asTypeOf(s0_folded_gh), s2_fire) 271 272 val s0_last_br_num_oh = Wire(UInt((numBr+1).W)) 273 val s0_last_br_num_oh_reg = RegNext(s0_last_br_num_oh, 0.U) 274 val s1_last_br_num_oh = RegEnable(s0_last_br_num_oh, 0.U, s0_fire) 275 val s2_last_br_num_oh = RegEnable(s1_last_br_num_oh, 0.U, s1_fire) 276 val s3_last_br_num_oh = RegEnable(s2_last_br_num_oh, 0.U, s2_fire) 277 278 val s0_ahead_fh_oldest_bits = Wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)) 279 val s0_ahead_fh_oldest_bits_reg = RegNext(s0_ahead_fh_oldest_bits, 0.U.asTypeOf(s0_ahead_fh_oldest_bits)) 280 val s1_ahead_fh_oldest_bits = RegEnable(s0_ahead_fh_oldest_bits, 0.U.asTypeOf(s0_ahead_fh_oldest_bits), s0_fire) 281 val s2_ahead_fh_oldest_bits = RegEnable(s1_ahead_fh_oldest_bits, 0.U.asTypeOf(s0_ahead_fh_oldest_bits), s1_fire) 282 val s3_ahead_fh_oldest_bits = RegEnable(s2_ahead_fh_oldest_bits, 0.U.asTypeOf(s0_ahead_fh_oldest_bits), s2_fire) 283 284 val npcGen = new PhyPriorityMuxGenerator[UInt] 285 val foldedGhGen = new PhyPriorityMuxGenerator[AllFoldedHistories] 286 val ghistPtrGen = new PhyPriorityMuxGenerator[CGHPtr] 287 val lastBrNumOHGen = new PhyPriorityMuxGenerator[UInt] 288 val aheadFhObGen = new PhyPriorityMuxGenerator[AllAheadFoldedHistoryOldestBits] 289 290 val ghvBitWriteGens = Seq.tabulate(HistoryLength)(n => new PhyPriorityMuxGenerator[Bool]) 291 // val ghistGen = new PhyPriorityMuxGenerator[UInt] 292 293 val ghv = RegInit(0.U.asTypeOf(Vec(HistoryLength, Bool()))) 294 val ghv_wire = WireInit(ghv) 295 296 val s0_ghist = WireInit(0.U.asTypeOf(UInt(HistoryLength.W))) 297 298 299 println(f"history buffer length ${HistoryLength}") 300 val ghv_write_datas = Wire(Vec(HistoryLength, Bool())) 301 val ghv_wens = Wire(Vec(HistoryLength, Bool())) 302 303 val s0_ghist_ptr = Wire(new CGHPtr) 304 val s0_ghist_ptr_reg = RegNext(s0_ghist_ptr, 0.U.asTypeOf(new CGHPtr)) 305 val s1_ghist_ptr = RegEnable(s0_ghist_ptr, 0.U.asTypeOf(new CGHPtr), s0_fire) 306 val s2_ghist_ptr = RegEnable(s1_ghist_ptr, 0.U.asTypeOf(new CGHPtr), s1_fire) 307 val s3_ghist_ptr = RegEnable(s2_ghist_ptr, 0.U.asTypeOf(new CGHPtr), s2_fire) 308 309 def getHist(ptr: CGHPtr): UInt = (Cat(ghv_wire.asUInt, ghv_wire.asUInt) >> (ptr.value+1.U))(HistoryLength-1, 0) 310 s0_ghist := getHist(s0_ghist_ptr) 311 312 val resp = predictors.io.out 313 314 315 val toFtq_fire = io.bpu_to_ftq.resp.valid && io.bpu_to_ftq.resp.ready 316 317 val s1_flush, s2_flush, s3_flush = Wire(Bool()) 318 val s2_redirect, s3_redirect = Wire(Bool()) 319 320 // predictors.io := DontCare 321 predictors.io.in.valid := s0_fire 322 predictors.io.in.bits.s0_pc := s0_pc 323 predictors.io.in.bits.ghist := s0_ghist 324 predictors.io.in.bits.folded_hist := s0_folded_gh 325 predictors.io.in.bits.resp_in(0) := (0.U).asTypeOf(new BranchPredictionResp) 326 // predictors.io.in.bits.resp_in(0).s1.pc := s0_pc 327 // predictors.io.in.bits.toFtq_fire := toFtq_fire 328 329 // predictors.io.out.ready := io.bpu_to_ftq.resp.ready 330 331 val redirect_req = io.ftq_to_bpu.redirect 332 val do_redirect = RegNext(redirect_req, 0.U.asTypeOf(io.ftq_to_bpu.redirect)) 333 334 // Pipeline logic 335 s2_redirect := false.B 336 s3_redirect := false.B 337 338 s3_flush := redirect_req.valid // flush when redirect comes 339 s2_flush := s3_flush || s3_redirect 340 s1_flush := s2_flush || s2_redirect 341 342 s1_components_ready := predictors.io.s1_ready 343 s1_ready := s1_fire || !s1_valid 344 s0_fire := s1_components_ready && s1_ready 345 predictors.io.s0_fire := s0_fire 346 347 s2_components_ready := predictors.io.s2_ready 348 s2_ready := s2_fire || !s2_valid 349 s1_fire := s1_valid && s2_components_ready && s2_ready && io.bpu_to_ftq.resp.ready 350 351 s3_components_ready := predictors.io.s3_ready 352 s3_ready := s3_fire || !s3_valid 353 s2_fire := s2_valid && s3_components_ready && s3_ready 354 355 when (redirect_req.valid) { s1_valid := false.B } 356 .elsewhen(s0_fire) { s1_valid := true.B } 357 .elsewhen(s1_flush) { s1_valid := false.B } 358 .elsewhen(s1_fire) { s1_valid := false.B } 359 360 predictors.io.s1_fire := s1_fire 361 362 s2_fire := s2_valid 363 364 when(s2_flush) { s2_valid := false.B } 365 .elsewhen(s1_fire) { s2_valid := !s1_flush } 366 .elsewhen(s2_fire) { s2_valid := false.B } 367 368 predictors.io.s2_fire := s2_fire 369 predictors.io.s2_redirect := s2_redirect 370 371 s3_fire := s3_valid 372 373 when(s3_flush) { s3_valid := false.B } 374 .elsewhen(s2_fire) { s3_valid := !s2_flush } 375 .elsewhen(s3_fire) { s3_valid := false.B } 376 377 predictors.io.s3_fire := s3_fire 378 predictors.io.s3_redirect := s3_redirect 379 380 381 io.bpu_to_ftq.resp.valid := 382 s1_valid && s2_components_ready && s2_ready || 383 s2_fire && s2_redirect || 384 s3_fire && s3_redirect 385 io.bpu_to_ftq.resp.bits := predictors.io.out 386 io.bpu_to_ftq.resp.bits.last_stage_spec_info.folded_hist := s3_folded_gh 387 io.bpu_to_ftq.resp.bits.last_stage_spec_info.histPtr := s3_ghist_ptr 388 io.bpu_to_ftq.resp.bits.last_stage_spec_info.lastBrNumOH := s3_last_br_num_oh 389 io.bpu_to_ftq.resp.bits.last_stage_spec_info.afhob := s3_ahead_fh_oldest_bits 390 391 npcGen.register(true.B, s0_pc_reg, Some("stallPC"), 0) 392 foldedGhGen.register(true.B, s0_folded_gh_reg, Some("stallFGH"), 0) 393 ghistPtrGen.register(true.B, s0_ghist_ptr_reg, Some("stallGHPtr"), 0) 394 lastBrNumOHGen.register(true.B, s0_last_br_num_oh_reg, Some("stallBrNumOH"), 0) 395 aheadFhObGen.register(true.B, s0_ahead_fh_oldest_bits_reg, Some("stallAFHOB"), 0) 396 397 // History manage 398 // s1 399 val s1_possible_predicted_ghist_ptrs = (0 to numBr).map(s1_ghist_ptr - _.U) 400 val s1_predicted_ghist_ptr = Mux1H(resp.s1.lastBrPosOH, s1_possible_predicted_ghist_ptrs) 401 402 val s1_possible_predicted_fhs = (0 to numBr).map(i => 403 s1_folded_gh.update(s1_ahead_fh_oldest_bits, s1_last_br_num_oh, i, resp.s1.brTaken && resp.s1.lastBrPosOH(i))) 404 val s1_predicted_fh = Mux1H(resp.s1.lastBrPosOH, s1_possible_predicted_fhs) 405 406 val s1_ahead_fh_ob_src = Wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)) 407 s1_ahead_fh_ob_src.read(ghv, s1_ghist_ptr) 408 409 if (EnableGHistDiff) { 410 val s1_predicted_ghist = WireInit(getHist(s1_predicted_ghist_ptr).asTypeOf(Vec(HistoryLength, Bool()))) 411 for (i <- 0 until numBr) { 412 when (resp.s1.shouldShiftVec(i)) { 413 s1_predicted_ghist(i) := resp.s1.brTaken && (i==0).B 414 } 415 } 416 when (s1_valid) { 417 s0_ghist := s1_predicted_ghist.asUInt 418 } 419 } 420 421 val s1_ghv_wens = (0 until HistoryLength).map(n => 422 (0 until numBr).map(b => (s1_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s1.shouldShiftVec(b) && s1_valid)) 423 val s1_ghv_wdatas = (0 until HistoryLength).map(n => 424 Mux1H( 425 (0 until numBr).map(b => ( 426 (s1_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s1.shouldShiftVec(b), 427 resp.s1.brTaken && resp.s1.lastBrPosOH(b+1) 428 )) 429 ) 430 ) 431 432 npcGen.register(s1_valid, resp.s1.getTarget, Some("s1_target"), 4) 433 foldedGhGen.register(s1_valid, s1_predicted_fh, Some("s1_FGH"), 4) 434 ghistPtrGen.register(s1_valid, s1_predicted_ghist_ptr, Some("s1_GHPtr"), 4) 435 lastBrNumOHGen.register(s1_valid, resp.s1.lastBrPosOH.asUInt, Some("s1_BrNumOH"), 4) 436 aheadFhObGen.register(s1_valid, s1_ahead_fh_ob_src, Some("s1_AFHOB"), 4) 437 ghvBitWriteGens.zip(s1_ghv_wens).zipWithIndex.map{case ((b, w), i) => 438 b.register(w.reduce(_||_), s1_ghv_wdatas(i), Some(s"s1_new_bit_$i"), 4) 439 } 440 441 class PreviousPredInfo extends Bundle { 442 val target = UInt(VAddrBits.W) 443 val lastBrPosOH = UInt((numBr+1).W) 444 val taken = Bool() 445 val cfiIndex = UInt(log2Ceil(PredictWidth).W) 446 } 447 448 def preds_needs_redirect_vec(x: PreviousPredInfo, y: BranchPredictionBundle) = { 449 VecInit( 450 x.target =/= y.getTarget, 451 x.lastBrPosOH =/= y.lastBrPosOH.asUInt, 452 x.taken =/= y.taken, 453 (x.taken && y.taken) && x.cfiIndex =/= y.cfiIndex.bits, 454 // x.shouldShiftVec.asUInt =/= y.shouldShiftVec.asUInt, 455 // x.brTaken =/= y.brTaken 456 ) 457 } 458 459 // s2 460 val s2_possible_predicted_ghist_ptrs = (0 to numBr).map(s2_ghist_ptr - _.U) 461 val s2_predicted_ghist_ptr = Mux1H(resp.s2.lastBrPosOH, s2_possible_predicted_ghist_ptrs) 462 463 val s2_possible_predicted_fhs = (0 to numBr).map(i => 464 s2_folded_gh.update(s2_ahead_fh_oldest_bits, s2_last_br_num_oh, i, if (i > 0) resp.s2.full_pred.br_taken_mask(i-1) else false.B)) 465 val s2_predicted_fh = Mux1H(resp.s2.lastBrPosOH, s2_possible_predicted_fhs) 466 467 val s2_ahead_fh_ob_src = Wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)) 468 s2_ahead_fh_ob_src.read(ghv, s2_ghist_ptr) 469 470 if (EnableGHistDiff) { 471 val s2_predicted_ghist = WireInit(getHist(s2_predicted_ghist_ptr).asTypeOf(Vec(HistoryLength, Bool()))) 472 for (i <- 0 until numBr) { 473 when (resp.s2.shouldShiftVec(i)) { 474 s2_predicted_ghist(i) := resp.s2.brTaken && (i==0).B 475 } 476 } 477 when(s2_redirect) { 478 s0_ghist := s2_predicted_ghist.asUInt 479 } 480 } 481 482 val s2_ghv_wens = (0 until HistoryLength).map(n => 483 (0 until numBr).map(b => (s2_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s2.shouldShiftVec(b) && s2_redirect)) 484 val s2_ghv_wdatas = (0 until HistoryLength).map(n => 485 Mux1H( 486 (0 until numBr).map(b => ( 487 (s2_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s2.shouldShiftVec(b), 488 resp.s2.full_pred.real_br_taken_mask()(b) 489 )) 490 ) 491 ) 492 493 val s1_pred_info = Wire(new PreviousPredInfo) 494 s1_pred_info.target := resp.s1.getTarget 495 s1_pred_info.lastBrPosOH := resp.s1.lastBrPosOH.asUInt 496 s1_pred_info.taken := resp.s1.taken 497 s1_pred_info.cfiIndex := resp.s1.cfiIndex.bits 498 499 val previous_s1_pred_info = RegEnable(s1_pred_info, init=0.U.asTypeOf(s1_pred_info), s1_fire) 500 501 val s2_redirect_s1_last_pred_vec = preds_needs_redirect_vec(previous_s1_pred_info, resp.s2) 502 503 s2_redirect := s2_fire && s2_redirect_s1_last_pred_vec.reduce(_||_) 504 505 npcGen.register(s2_redirect, resp.s2.getTarget, Some("s2_target"), 5) 506 foldedGhGen.register(s2_redirect, s2_predicted_fh, Some("s2_FGH"), 5) 507 ghistPtrGen.register(s2_redirect, s2_predicted_ghist_ptr, Some("s2_GHPtr"), 5) 508 lastBrNumOHGen.register(s2_redirect, resp.s2.lastBrPosOH.asUInt, Some("s2_BrNumOH"), 5) 509 aheadFhObGen.register(s2_redirect, s2_ahead_fh_ob_src, Some("s2_AFHOB"), 5) 510 ghvBitWriteGens.zip(s2_ghv_wens).zipWithIndex.map{case ((b, w), i) => 511 b.register(w.reduce(_||_), s2_ghv_wdatas(i), Some(s"s2_new_bit_$i"), 5) 512 } 513 514 XSPerfAccumulate("s2_redirect_because_target_diff", s2_fire && s2_redirect_s1_last_pred_vec(0)) 515 XSPerfAccumulate("s2_redirect_because_branch_num_diff", s2_fire && s2_redirect_s1_last_pred_vec(1)) 516 XSPerfAccumulate("s2_redirect_because_direction_diff", s2_fire && s2_redirect_s1_last_pred_vec(2)) 517 XSPerfAccumulate("s2_redirect_because_cfi_idx_diff", s2_fire && s2_redirect_s1_last_pred_vec(3)) 518 // XSPerfAccumulate("s2_redirect_because_shouldShiftVec_diff", s2_fire && s2_redirect_s1_last_pred_vec(4)) 519 // XSPerfAccumulate("s2_redirect_because_brTaken_diff", s2_fire && s2_redirect_s1_last_pred_vec(5)) 520 XSPerfAccumulate("s2_redirect_because_fallThroughError", s2_fire && resp.s2.fallThruError) 521 522 XSPerfAccumulate("s2_redirect_when_taken", s2_redirect && resp.s2.taken && resp.s2.full_pred.hit) 523 XSPerfAccumulate("s2_redirect_when_not_taken", s2_redirect && !resp.s2.taken && resp.s2.full_pred.hit) 524 XSPerfAccumulate("s2_redirect_when_not_hit", s2_redirect && !resp.s2.full_pred.hit) 525 526 527 // s3 528 val s3_possible_predicted_ghist_ptrs = (0 to numBr).map(s3_ghist_ptr - _.U) 529 val s3_predicted_ghist_ptr = Mux1H(resp.s3.lastBrPosOH, s3_possible_predicted_ghist_ptrs) 530 531 val s3_possible_predicted_fhs = (0 to numBr).map(i => 532 s3_folded_gh.update(s3_ahead_fh_oldest_bits, s3_last_br_num_oh, i, if (i > 0) resp.s3.full_pred.br_taken_mask(i-1) else false.B)) 533 val s3_predicted_fh = Mux1H(resp.s3.lastBrPosOH, s3_possible_predicted_fhs) 534 535 val s3_ahead_fh_ob_src = Wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)) 536 s3_ahead_fh_ob_src.read(ghv, s3_ghist_ptr) 537 538 if (EnableGHistDiff) { 539 val s3_predicted_ghist = WireInit(getHist(s3_predicted_ghist_ptr).asTypeOf(Vec(HistoryLength, Bool()))) 540 for (i <- 0 until numBr) { 541 when (resp.s3.shouldShiftVec(i)) { 542 s3_predicted_ghist(i) := resp.s3.brTaken && (i==0).B 543 } 544 } 545 when(s3_redirect) { 546 s0_ghist := s3_predicted_ghist.asUInt 547 } 548 } 549 550 val s3_ghv_wens = (0 until HistoryLength).map(n => 551 (0 until numBr).map(b => (s3_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s3.shouldShiftVec(b) && s3_redirect)) 552 val s3_ghv_wdatas = (0 until HistoryLength).map(n => 553 Mux1H( 554 (0 until numBr).map(b => ( 555 (s3_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s3.shouldShiftVec(b), 556 resp.s3.full_pred.real_br_taken_mask()(b) 557 )) 558 ) 559 ) 560 561 val previous_s2_pred = RegEnable(resp.s2, 0.U.asTypeOf(resp.s2), s2_fire) 562 563 val s3_redirect_on_br_taken = resp.s3.full_pred.real_br_taken_mask().asUInt =/= previous_s2_pred.full_pred.real_br_taken_mask().asUInt 564 val s3_redirect_on_target = resp.s3.getTarget =/= previous_s2_pred.getTarget 565 val s3_redirect_on_jalr_target = resp.s3.full_pred.hit_taken_on_jalr && resp.s3.full_pred.jalr_target =/= previous_s2_pred.full_pred.jalr_target 566 val s3_redirect_on_fall_thru_error = resp.s3.fallThruError 567 568 s3_redirect := s3_fire && ( 569 s3_redirect_on_br_taken || s3_redirect_on_target || s3_redirect_on_fall_thru_error 570 ) 571 572 XSPerfAccumulate(f"s3_redirect_on_br_taken", s3_fire && s3_redirect_on_br_taken) 573 XSPerfAccumulate(f"s3_redirect_on_jalr_target", s3_fire && s3_redirect_on_jalr_target) 574 XSPerfAccumulate(f"s3_redirect_on_others", s3_redirect && !(s3_redirect_on_br_taken || s3_redirect_on_jalr_target)) 575 576 npcGen.register(s3_redirect, resp.s3.getTarget, Some("s3_target"), 3) 577 foldedGhGen.register(s3_redirect, s3_predicted_fh, Some("s3_FGH"), 3) 578 ghistPtrGen.register(s3_redirect, s3_predicted_ghist_ptr, Some("s3_GHPtr"), 3) 579 lastBrNumOHGen.register(s3_redirect, resp.s3.lastBrPosOH.asUInt, Some("s3_BrNumOH"), 3) 580 aheadFhObGen.register(s3_redirect, s3_ahead_fh_ob_src, Some("s3_AFHOB"), 3) 581 ghvBitWriteGens.zip(s3_ghv_wens).zipWithIndex.map{case ((b, w), i) => 582 b.register(w.reduce(_||_), s3_ghv_wdatas(i), Some(s"s3_new_bit_$i"), 3) 583 } 584 585 // Send signal tell Ftq override 586 val s2_ftq_idx = RegEnable(io.ftq_to_bpu.enq_ptr, s1_fire) 587 val s3_ftq_idx = RegEnable(s2_ftq_idx, s2_fire) 588 589 io.bpu_to_ftq.resp.bits.s1.valid := s1_fire && !s1_flush 590 io.bpu_to_ftq.resp.bits.s1.hasRedirect := false.B 591 io.bpu_to_ftq.resp.bits.s1.ftq_idx := DontCare 592 io.bpu_to_ftq.resp.bits.s2.valid := s2_fire && !s2_flush 593 io.bpu_to_ftq.resp.bits.s2.hasRedirect := s2_redirect 594 io.bpu_to_ftq.resp.bits.s2.ftq_idx := s2_ftq_idx 595 io.bpu_to_ftq.resp.bits.s3.valid := s3_fire && !s3_flush 596 io.bpu_to_ftq.resp.bits.s3.hasRedirect := s3_redirect 597 io.bpu_to_ftq.resp.bits.s3.ftq_idx := s3_ftq_idx 598 599 val redirect = do_redirect.bits 600 601 predictors.io.update := RegNext(io.ftq_to_bpu.update) 602 predictors.io.update.bits.ghist := RegNext(getHist(io.ftq_to_bpu.update.bits.spec_info.histPtr)) 603 predictors.io.redirect := do_redirect 604 605 // Redirect logic 606 val shift = redirect.cfiUpdate.shift 607 val addIntoHist = redirect.cfiUpdate.addIntoHist 608 // TODO: remove these below 609 val shouldShiftVec = Mux(shift === 0.U, VecInit(0.U((1 << (log2Ceil(numBr) + 1)).W).asBools), VecInit((LowerMask(1.U << (shift-1.U))).asBools())) 610 // TODO end 611 val afhob = redirect.cfiUpdate.afhob 612 val lastBrNumOH = redirect.cfiUpdate.lastBrNumOH 613 614 615 val isBr = redirect.cfiUpdate.pd.isBr 616 val taken = redirect.cfiUpdate.taken 617 val real_br_taken_mask = (0 until numBr).map(i => shift === (i+1).U && taken && addIntoHist ) 618 619 val oldPtr = redirect.cfiUpdate.histPtr 620 val oldFh = redirect.cfiUpdate.folded_hist 621 val updated_ptr = oldPtr - shift 622 val updated_fh = VecInit((0 to numBr).map(i => oldFh.update(afhob, lastBrNumOH, i, taken && addIntoHist)))(shift) 623 val thisBrNumOH = UIntToOH(shift, numBr+1) 624 val thisAheadFhOb = Wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)) 625 thisAheadFhOb.read(ghv, oldPtr) 626 val redirect_ghv_wens = (0 until HistoryLength).map(n => 627 (0 until numBr).map(b => oldPtr.value === (CGHPtr(false.B, n.U) + b.U).value && shouldShiftVec(b) && do_redirect.valid)) 628 val redirect_ghv_wdatas = (0 until HistoryLength).map(n => 629 Mux1H( 630 (0 until numBr).map(b => oldPtr.value === (CGHPtr(false.B, n.U) + b.U).value && shouldShiftVec(b)), 631 real_br_taken_mask 632 ) 633 ) 634 635 if (EnableGHistDiff) { 636 val updated_ghist = WireInit(getHist(updated_ptr).asTypeOf(Vec(HistoryLength, Bool()))) 637 for (i <- 0 until numBr) { 638 when (shift >= (i+1).U) { 639 updated_ghist(i) := taken && addIntoHist && (i==0).B 640 } 641 } 642 when(do_redirect.valid) { 643 s0_ghist := updated_ghist.asUInt 644 } 645 } 646 647 // Commit time history checker 648 if (EnableCommitGHistDiff) { 649 val commitGHist = RegInit(0.U.asTypeOf(Vec(HistoryLength, Bool()))) 650 val commitGHistPtr = RegInit(0.U.asTypeOf(new CGHPtr)) 651 def getCommitHist(ptr: CGHPtr): UInt = 652 (Cat(commitGHist.asUInt, commitGHist.asUInt) >> (ptr.value+1.U))(HistoryLength-1, 0) 653 654 val updateValid : Bool = io.ftq_to_bpu.update.valid 655 val branchValidMask : UInt = io.ftq_to_bpu.update.bits.ftb_entry.brValids.asUInt 656 val branchCommittedMask: Vec[Bool] = io.ftq_to_bpu.update.bits.br_committed 657 val misPredictMask : UInt = io.ftq_to_bpu.update.bits.mispred_mask.asUInt 658 val takenMask : UInt = 659 io.ftq_to_bpu.update.bits.br_taken_mask.asUInt | 660 io.ftq_to_bpu.update.bits.ftb_entry.always_taken.asUInt // Always taken branch is recorded in history 661 val takenIdx : UInt = (PriorityEncoder(takenMask) + 1.U((log2Ceil(numBr)+1).W)).asUInt 662 val misPredictIdx : UInt = (PriorityEncoder(misPredictMask) + 1.U((log2Ceil(numBr)+1).W)).asUInt 663 val shouldShiftMask: UInt = Mux(takenMask.orR, 664 LowerMask(takenIdx).asUInt, 665 ((1 << numBr) - 1).asUInt) & 666 Mux(misPredictMask.orR, 667 LowerMask(misPredictIdx).asUInt, 668 ((1 << numBr) - 1).asUInt) & 669 branchCommittedMask.asUInt 670 val updateShift : UInt = 671 Mux(updateValid && branchValidMask.orR, PopCount(branchValidMask & shouldShiftMask), 0.U) 672 dontTouch(updateShift) 673 dontTouch(commitGHist) 674 dontTouch(commitGHistPtr) 675 dontTouch(takenMask) 676 dontTouch(branchValidMask) 677 dontTouch(branchCommittedMask) 678 679 // Maintain the commitGHist 680 for (i <- 0 until numBr) { 681 when(updateShift >= (i + 1).U) { 682 val ptr: CGHPtr = commitGHistPtr - i.asUInt 683 commitGHist(ptr.value) := takenMask(i) 684 } 685 } 686 when(updateValid) { 687 commitGHistPtr := commitGHistPtr - updateShift 688 } 689 690 // Calculate true history using Parallel XOR 691 def computeFoldedHist(hist: UInt, compLen: Int)(histLen: Int): UInt = { 692 if (histLen > 0) { 693 val nChunks = (histLen + compLen - 1) / compLen 694 val hist_chunks = (0 until nChunks) map { i => 695 hist(min((i + 1) * compLen, histLen) - 1, i * compLen) 696 } 697 ParallelXOR(hist_chunks) 698 } 699 else 0.U 700 } 701 // Do differential 702 val predictFHistAll: AllFoldedHistories = io.ftq_to_bpu.update.bits.spec_info.folded_hist 703 TageTableInfos.map { 704 case (nRows, histLen, _) => { 705 val nRowsPerBr = nRows / numBr 706 val commitTrueHist: UInt = computeFoldedHist(getCommitHist(commitGHistPtr), log2Ceil(nRowsPerBr))(histLen) 707 val predictFHist : UInt = predictFHistAll. 708 getHistWithInfo((histLen, min(histLen, log2Ceil(nRowsPerBr)))).folded_hist 709 XSWarn(updateValid && predictFHist =/= commitTrueHist, 710 p"predict time ghist: ${predictFHist} is different from commit time: ${commitTrueHist}\n") 711 } 712 } 713 } 714 715 716 // val updatedGh = oldGh.update(shift, taken && addIntoHist) 717 718 npcGen.register(do_redirect.valid, do_redirect.bits.cfiUpdate.target, Some("redirect_target"), 2) 719 foldedGhGen.register(do_redirect.valid, updated_fh, Some("redirect_FGHT"), 2) 720 ghistPtrGen.register(do_redirect.valid, updated_ptr, Some("redirect_GHPtr"), 2) 721 lastBrNumOHGen.register(do_redirect.valid, thisBrNumOH, Some("redirect_BrNumOH"), 2) 722 aheadFhObGen.register(do_redirect.valid, thisAheadFhOb, Some("redirect_AFHOB"), 2) 723 ghvBitWriteGens.zip(redirect_ghv_wens).zipWithIndex.map{case ((b, w), i) => 724 b.register(w.reduce(_||_), redirect_ghv_wdatas(i), Some(s"redirect_new_bit_$i"), 2) 725 } 726 // no need to assign s0_last_pred 727 728 // val need_reset = RegNext(reset.asBool) && !reset.asBool 729 730 // Reset 731 // npcGen.register(need_reset, resetVector.U, Some("reset_pc"), 1) 732 // foldedGhGen.register(need_reset, 0.U.asTypeOf(s0_folded_gh), Some("reset_FGH"), 1) 733 // ghistPtrGen.register(need_reset, 0.U.asTypeOf(new CGHPtr), Some("reset_GHPtr"), 1) 734 735 s0_pc := npcGen() 736 s0_folded_gh := foldedGhGen() 737 s0_ghist_ptr := ghistPtrGen() 738 s0_ahead_fh_oldest_bits := aheadFhObGen() 739 s0_last_br_num_oh := lastBrNumOHGen() 740 (ghv_write_datas zip ghvBitWriteGens).map{case (wd, d) => wd := d()} 741 for (i <- 0 until HistoryLength) { 742 ghv_wens(i) := Seq(s1_ghv_wens, s2_ghv_wens, s3_ghv_wens, redirect_ghv_wens).map(_(i).reduce(_||_)).reduce(_||_) 743 when (ghv_wens(i)) { 744 ghv(i) := ghv_write_datas(i) 745 } 746 } 747 748 XSError(isBefore(redirect.cfiUpdate.histPtr, s3_ghist_ptr) && do_redirect.valid, p"s3_ghist_ptr ${s3_ghist_ptr} exceeds redirect histPtr ${redirect.cfiUpdate.histPtr}\n") 749 XSError(isBefore(redirect.cfiUpdate.histPtr, s2_ghist_ptr) && do_redirect.valid, p"s2_ghist_ptr ${s2_ghist_ptr} exceeds redirect histPtr ${redirect.cfiUpdate.histPtr}\n") 750 XSError(isBefore(redirect.cfiUpdate.histPtr, s1_ghist_ptr) && do_redirect.valid, p"s1_ghist_ptr ${s1_ghist_ptr} exceeds redirect histPtr ${redirect.cfiUpdate.histPtr}\n") 751 752 XSDebug(RegNext(reset.asBool) && !reset.asBool, "Reseting...\n") 753 XSDebug(io.ftq_to_bpu.update.valid, p"Update from ftq\n") 754 XSDebug(io.ftq_to_bpu.redirect.valid, p"Redirect from ftq\n") 755 756 XSDebug("[BP0] fire=%d pc=%x\n", s0_fire, s0_pc) 757 XSDebug("[BP1] v=%d r=%d cr=%d fire=%d flush=%d pc=%x\n", 758 s1_valid, s1_ready, s1_components_ready, s1_fire, s1_flush, s1_pc) 759 XSDebug("[BP2] v=%d r=%d cr=%d fire=%d redirect=%d flush=%d pc=%x\n", 760 s2_valid, s2_ready, s2_components_ready, s2_fire, s2_redirect, s2_flush, s2_pc) 761 XSDebug("[BP3] v=%d r=%d cr=%d fire=%d redirect=%d flush=%d pc=%x\n", 762 s3_valid, s3_ready, s3_components_ready, s3_fire, s3_redirect, s3_flush, s3_pc) 763 XSDebug("[FTQ] ready=%d\n", io.bpu_to_ftq.resp.ready) 764 XSDebug("resp.s1.target=%x\n", resp.s1.getTarget) 765 XSDebug("resp.s2.target=%x\n", resp.s2.getTarget) 766 // XSDebug("s0_ghist: %b\n", s0_ghist.predHist) 767 // XSDebug("s1_ghist: %b\n", s1_ghist.predHist) 768 // XSDebug("s2_ghist: %b\n", s2_ghist.predHist) 769 // XSDebug("s2_predicted_ghist: %b\n", s2_predicted_ghist.predHist) 770 XSDebug(p"s0_ghist_ptr: $s0_ghist_ptr\n") 771 XSDebug(p"s1_ghist_ptr: $s1_ghist_ptr\n") 772 XSDebug(p"s2_ghist_ptr: $s2_ghist_ptr\n") 773 XSDebug(p"s3_ghist_ptr: $s3_ghist_ptr\n") 774 775 io.ftq_to_bpu.update.bits.display(io.ftq_to_bpu.update.valid) 776 io.ftq_to_bpu.redirect.bits.display(io.ftq_to_bpu.redirect.valid) 777 778 779 XSPerfAccumulate("s2_redirect", s2_redirect) 780 XSPerfAccumulate("s3_redirect", s3_redirect) 781 XSPerfAccumulate("s1_not_valid", !s1_valid) 782 783 val perfEvents = predictors.asInstanceOf[Composer].getPerfEvents 784 generatePerfEvent() 785} 786