xref: /XiangShan/src/main/scala/xiangshan/frontend/BPU.scala (revision 802c33c5ab685212ccbbc9792643a392104b697c)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.frontend
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import xiangshan._
23import utils._
24import utility._
25
26import scala.math.min
27import xiangshan.backend.decode.ImmUnion
28
29trait HasBPUConst extends HasXSParameter {
30  val MaxMetaLength = if (!env.FPGAPlatform) 512 else 256 // TODO: Reduce meta length
31  val MaxBasicBlockSize = 32
32  val LHistoryLength = 32
33  // val numBr = 2
34  val useBPD = true
35  val useLHist = true
36  val numBrSlot = numBr-1
37  val totalSlot = numBrSlot + 1
38
39  val numDup = 4
40
41  def BP_STAGES = (0 until 3).map(_.U(2.W))
42  def BP_S1 = BP_STAGES(0)
43  def BP_S2 = BP_STAGES(1)
44  def BP_S3 = BP_STAGES(2)
45
46  def dup_seq[T](src: T, num: Int = numDup) = Seq.tabulate(num)(n => src)
47  def dup[T <: Data](src: T, num: Int = numDup) = VecInit(Seq.tabulate(num)(n => src))
48  def dup_wire[T <: Data](src: T, num: Int = numDup) = Wire(Vec(num, src.cloneType))
49  def dup_idx = Seq.tabulate(numDup)(n => n.toString())
50  val numBpStages = BP_STAGES.length
51
52  val debug = true
53  // TODO: Replace log2Up by log2Ceil
54}
55
56trait HasBPUParameter extends HasXSParameter with HasBPUConst {
57  val BPUDebug = true && !env.FPGAPlatform && env.EnablePerfDebug
58  val EnableCFICommitLog = true
59  val EnbaleCFIPredLog = true
60  val EnableBPUTimeRecord = (EnableCFICommitLog || EnbaleCFIPredLog) && !env.FPGAPlatform
61  val EnableCommit = false
62}
63
64class BPUCtrl(implicit p: Parameters) extends XSBundle {
65  val ubtb_enable = Bool()
66  val btb_enable  = Bool()
67  val bim_enable  = Bool()
68  val tage_enable = Bool()
69  val sc_enable   = Bool()
70  val ras_enable  = Bool()
71  val loop_enable = Bool()
72}
73
74trait BPUUtils extends HasXSParameter {
75  // circular shifting
76  def circularShiftLeft(source: UInt, len: Int, shamt: UInt): UInt = {
77    val res = Wire(UInt(len.W))
78    val higher = source << shamt
79    val lower = source >> (len.U - shamt)
80    res := higher | lower
81    res
82  }
83
84  def circularShiftRight(source: UInt, len: Int, shamt: UInt): UInt = {
85    val res = Wire(UInt(len.W))
86    val higher = source << (len.U - shamt)
87    val lower = source >> shamt
88    res := higher | lower
89    res
90  }
91
92  // To be verified
93  def satUpdate(old: UInt, len: Int, taken: Bool): UInt = {
94    val oldSatTaken = old === ((1 << len)-1).U
95    val oldSatNotTaken = old === 0.U
96    Mux(oldSatTaken && taken, ((1 << len)-1).U,
97      Mux(oldSatNotTaken && !taken, 0.U,
98        Mux(taken, old + 1.U, old - 1.U)))
99  }
100
101  def signedSatUpdate(old: SInt, len: Int, taken: Bool): SInt = {
102    val oldSatTaken = old === ((1 << (len-1))-1).S
103    val oldSatNotTaken = old === (-(1 << (len-1))).S
104    Mux(oldSatTaken && taken, ((1 << (len-1))-1).S,
105      Mux(oldSatNotTaken && !taken, (-(1 << (len-1))).S,
106        Mux(taken, old + 1.S, old - 1.S)))
107  }
108
109  def getFallThroughAddr(start: UInt, carry: Bool, pft: UInt) = {
110    val higher = start.head(VAddrBits-log2Ceil(PredictWidth)-instOffsetBits)
111    Cat(Mux(carry, higher+1.U, higher), pft, 0.U(instOffsetBits.W))
112  }
113
114  def foldTag(tag: UInt, l: Int): UInt = {
115    val nChunks = (tag.getWidth + l - 1) / l
116    val chunks = (0 until nChunks).map { i =>
117      tag(min((i+1)*l, tag.getWidth)-1, i*l)
118    }
119    ParallelXOR(chunks)
120  }
121}
122
123class BasePredictorInput (implicit p: Parameters) extends XSBundle with HasBPUConst {
124  def nInputs = 1
125
126  val s0_pc = Vec(numDup, UInt(VAddrBits.W))
127
128  val folded_hist = Vec(numDup, new AllFoldedHistories(foldedGHistInfos))
129  val ghist = UInt(HistoryLength.W)
130
131  val resp_in = Vec(nInputs, new BranchPredictionResp)
132
133  // val final_preds = Vec(numBpStages, new)
134  // val toFtq_fire = Bool()
135
136  // val s0_all_ready = Bool()
137}
138
139class BasePredictorOutput (implicit p: Parameters) extends BranchPredictionResp {}
140
141class BasePredictorIO (implicit p: Parameters) extends XSBundle with HasBPUConst {
142  val reset_vector = Input(UInt(PAddrBits.W))
143  val in  = Flipped(DecoupledIO(new BasePredictorInput)) // TODO: Remove DecoupledIO
144  // val out = DecoupledIO(new BasePredictorOutput)
145  val out = Output(new BasePredictorOutput)
146  // val flush_out = Valid(UInt(VAddrBits.W))
147
148  val ctrl = Input(new BPUCtrl)
149
150  val s0_fire = Input(Vec(numDup, Bool()))
151  val s1_fire = Input(Vec(numDup, Bool()))
152  val s2_fire = Input(Vec(numDup, Bool()))
153  val s3_fire = Input(Vec(numDup, Bool()))
154
155  val s2_redirect = Input(Vec(numDup, Bool()))
156  val s3_redirect = Input(Vec(numDup, Bool()))
157
158  val s1_ready = Output(Bool())
159  val s2_ready = Output(Bool())
160  val s3_ready = Output(Bool())
161
162  val update = Flipped(Valid(new BranchPredictionUpdate))
163  val redirect = Flipped(Valid(new BranchPredictionRedirect))
164}
165
166abstract class BasePredictor(implicit p: Parameters) extends XSModule
167  with HasBPUConst with BPUUtils with HasPerfEvents {
168  val meta_size = 0
169  val spec_meta_size = 0
170  val is_fast_pred = false
171  val io = IO(new BasePredictorIO())
172
173  io.out := io.in.bits.resp_in(0)
174
175  io.out.last_stage_meta := 0.U
176
177  io.in.ready := !io.redirect.valid
178
179  io.s1_ready := true.B
180  io.s2_ready := true.B
181  io.s3_ready := true.B
182
183  val reset_vector = DelayN(io.reset_vector, 5)
184
185  val s0_pc_dup   = WireInit(io.in.bits.s0_pc) // fetchIdx(io.f0_pc)
186  val s1_pc_dup   = s0_pc_dup.zip(io.s0_fire).map {case (s0_pc, s0_fire) => RegEnable(s0_pc, s0_fire)}
187  val s2_pc_dup   = s1_pc_dup.zip(io.s1_fire).map {case (s1_pc, s1_fire) => RegEnable(s1_pc, s1_fire)}
188  val s3_pc_dup   = s2_pc_dup.zip(io.s2_fire).map {case (s2_pc, s2_fire) => RegEnable(s2_pc, s2_fire)}
189
190  when (RegNext(RegNext(reset.asBool) && !reset.asBool)) {
191    s1_pc_dup.map{case s1_pc => s1_pc := reset_vector}
192  }
193
194  io.out.s1.pc := s1_pc_dup
195  io.out.s2.pc := s2_pc_dup
196  io.out.s3.pc := s3_pc_dup
197
198  val perfEvents: Seq[(String, UInt)] = Seq()
199
200
201  def getFoldedHistoryInfo: Option[Set[FoldedHistoryInfo]] = None
202}
203
204class FakePredictor(implicit p: Parameters) extends BasePredictor {
205  io.in.ready                 := true.B
206  io.out.last_stage_meta      := 0.U
207  io.out := io.in.bits.resp_in(0)
208}
209
210class BpuToFtqIO(implicit p: Parameters) extends XSBundle {
211  val resp = DecoupledIO(new BpuToFtqBundle())
212}
213
214class PredictorIO(implicit p: Parameters) extends XSBundle {
215  val bpu_to_ftq = new BpuToFtqIO()
216  val ftq_to_bpu = Flipped(new FtqToBpuIO)
217  val ctrl = Input(new BPUCtrl)
218  val reset_vector = Input(UInt(PAddrBits.W))
219}
220
221class Predictor(implicit p: Parameters) extends XSModule with HasBPUConst with HasPerfEvents with HasCircularQueuePtrHelper {
222  val io = IO(new PredictorIO)
223
224  val ctrl = DelayN(io.ctrl, 1)
225  val predictors = Module(if (useBPD) new Composer else new FakePredictor)
226
227  def numOfStage = 3
228  require(numOfStage > 1, "BPU numOfStage must be greater than 1")
229  val topdown_stages = RegInit(VecInit(Seq.fill(numOfStage)(0.U.asTypeOf(new FrontendTopDownBundle))))
230
231  // following can only happen on s1
232  val controlRedirectBubble = Wire(Bool())
233  val ControlBTBMissBubble = Wire(Bool())
234  val TAGEMissBubble = Wire(Bool())
235  val SCMissBubble = Wire(Bool())
236  val ITTAGEMissBubble = Wire(Bool())
237  val RASMissBubble = Wire(Bool())
238
239  val memVioRedirectBubble = Wire(Bool())
240  val otherRedirectBubble = Wire(Bool())
241  val btbMissBubble = Wire(Bool())
242  otherRedirectBubble := false.B
243  memVioRedirectBubble := false.B
244
245  // override can happen between s1-s2 and s2-s3
246  val overrideBubble = Wire(Vec(numOfStage - 1, Bool()))
247  def overrideStage = 1
248  // ftq update block can happen on s1, s2 and s3
249  val ftqUpdateBubble = Wire(Vec(numOfStage, Bool()))
250  def ftqUpdateStage = 0
251  // ftq full stall only happens on s3 (last stage)
252  val ftqFullStall = Wire(Bool())
253
254  // by default, no bubble event
255  topdown_stages(0) := 0.U.asTypeOf(new FrontendTopDownBundle)
256  // event movement driven by clock only
257  for (i <- 0 until numOfStage - 1) {
258    topdown_stages(i + 1) := topdown_stages(i)
259  }
260
261
262
263  // ctrl signal
264  predictors.io.ctrl := ctrl
265  predictors.io.reset_vector := io.reset_vector
266
267
268  val reset_vector = DelayN(io.reset_vector, 5)
269
270  val s0_fire_dup, s1_fire_dup, s2_fire_dup, s3_fire_dup = dup_wire(Bool())
271  val s1_valid_dup, s2_valid_dup, s3_valid_dup = dup_seq(RegInit(false.B))
272  val s1_ready_dup, s2_ready_dup, s3_ready_dup = dup_wire(Bool())
273  val s1_components_ready_dup, s2_components_ready_dup, s3_components_ready_dup = dup_wire(Bool())
274
275  val s0_pc_dup = dup(WireInit(0.U.asTypeOf(UInt(VAddrBits.W))))
276  val s0_pc_reg_dup = s0_pc_dup.map(x => RegNext(x))
277  when (RegNext(RegNext(reset.asBool) && !reset.asBool)) {
278    s0_pc_reg_dup.map{case s0_pc => s0_pc := reset_vector}
279  }
280  val s1_pc = RegEnable(s0_pc_dup(0), s0_fire_dup(0))
281  val s2_pc = RegEnable(s1_pc, s1_fire_dup(0))
282  val s3_pc = RegEnable(s2_pc, s2_fire_dup(0))
283
284  val s0_folded_gh_dup = dup_wire(new AllFoldedHistories(foldedGHistInfos))
285  val s0_folded_gh_reg_dup = s0_folded_gh_dup.map(x => RegNext(x, init=0.U.asTypeOf(s0_folded_gh_dup(0))))
286  val s1_folded_gh_dup = RegEnable(s0_folded_gh_dup, 0.U.asTypeOf(s0_folded_gh_dup), s0_fire_dup(1))
287  val s2_folded_gh_dup = RegEnable(s1_folded_gh_dup, 0.U.asTypeOf(s0_folded_gh_dup), s1_fire_dup(1))
288  val s3_folded_gh_dup = RegEnable(s2_folded_gh_dup, 0.U.asTypeOf(s0_folded_gh_dup), s2_fire_dup(1))
289
290  val s0_last_br_num_oh_dup = dup_wire(UInt((numBr+1).W))
291  val s0_last_br_num_oh_reg_dup = s0_last_br_num_oh_dup.map(x => RegNext(x, init=0.U))
292  val s1_last_br_num_oh_dup = RegEnable(s0_last_br_num_oh_dup, 0.U.asTypeOf(s0_last_br_num_oh_dup), s0_fire_dup(1))
293  val s2_last_br_num_oh_dup = RegEnable(s1_last_br_num_oh_dup, 0.U.asTypeOf(s0_last_br_num_oh_dup), s1_fire_dup(1))
294  val s3_last_br_num_oh_dup = RegEnable(s2_last_br_num_oh_dup, 0.U.asTypeOf(s0_last_br_num_oh_dup), s2_fire_dup(1))
295
296  val s0_ahead_fh_oldest_bits_dup = dup_wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos))
297  val s0_ahead_fh_oldest_bits_reg_dup = s0_ahead_fh_oldest_bits_dup.map(x => RegNext(x, init=0.U.asTypeOf(s0_ahead_fh_oldest_bits_dup(0))))
298  val s1_ahead_fh_oldest_bits_dup = RegEnable(s0_ahead_fh_oldest_bits_dup, 0.U.asTypeOf(s0_ahead_fh_oldest_bits_dup), s0_fire_dup(1))
299  val s2_ahead_fh_oldest_bits_dup = RegEnable(s1_ahead_fh_oldest_bits_dup, 0.U.asTypeOf(s0_ahead_fh_oldest_bits_dup), s1_fire_dup(1))
300  val s3_ahead_fh_oldest_bits_dup = RegEnable(s2_ahead_fh_oldest_bits_dup, 0.U.asTypeOf(s0_ahead_fh_oldest_bits_dup), s2_fire_dup(1))
301
302  val npcGen_dup         = Seq.tabulate(numDup)(n => new PhyPriorityMuxGenerator[UInt])
303  val foldedGhGen_dup    = Seq.tabulate(numDup)(n => new PhyPriorityMuxGenerator[AllFoldedHistories])
304  val ghistPtrGen_dup    = Seq.tabulate(numDup)(n => new PhyPriorityMuxGenerator[CGHPtr])
305  val lastBrNumOHGen_dup = Seq.tabulate(numDup)(n => new PhyPriorityMuxGenerator[UInt])
306  val aheadFhObGen_dup   = Seq.tabulate(numDup)(n => new PhyPriorityMuxGenerator[AllAheadFoldedHistoryOldestBits])
307
308  val ghvBitWriteGens = Seq.tabulate(HistoryLength)(n => new PhyPriorityMuxGenerator[Bool])
309  // val ghistGen = new PhyPriorityMuxGenerator[UInt]
310
311  val ghv = RegInit(0.U.asTypeOf(Vec(HistoryLength, Bool())))
312  val ghv_wire = WireInit(ghv)
313
314  val s0_ghist = WireInit(0.U.asTypeOf(UInt(HistoryLength.W)))
315
316
317  println(f"history buffer length ${HistoryLength}")
318  val ghv_write_datas = Wire(Vec(HistoryLength, Bool()))
319  val ghv_wens = Wire(Vec(HistoryLength, Bool()))
320
321  val s0_ghist_ptr_dup = dup_wire(new CGHPtr)
322  val s0_ghist_ptr_reg_dup = s0_ghist_ptr_dup.map(x => RegNext(x, init=0.U.asTypeOf(new CGHPtr)))
323  val s1_ghist_ptr_dup = RegEnable(s0_ghist_ptr_dup, 0.U.asTypeOf(s0_ghist_ptr_dup), s0_fire_dup(1))
324  val s2_ghist_ptr_dup = RegEnable(s1_ghist_ptr_dup, 0.U.asTypeOf(s0_ghist_ptr_dup), s1_fire_dup(1))
325  val s3_ghist_ptr_dup = RegEnable(s2_ghist_ptr_dup, 0.U.asTypeOf(s0_ghist_ptr_dup), s2_fire_dup(1))
326
327  def getHist(ptr: CGHPtr): UInt = (Cat(ghv_wire.asUInt, ghv_wire.asUInt) >> (ptr.value+1.U))(HistoryLength-1, 0)
328  s0_ghist := getHist(s0_ghist_ptr_dup(0))
329
330  val resp = predictors.io.out
331
332
333  val toFtq_fire = io.bpu_to_ftq.resp.valid && io.bpu_to_ftq.resp.ready
334
335  val s1_flush_dup, s2_flush_dup, s3_flush_dup = dup_wire(Bool())
336  val s2_redirect_dup, s3_redirect_dup = dup_wire(Bool())
337
338  // predictors.io := DontCare
339  predictors.io.in.valid := s0_fire_dup(0)
340  predictors.io.in.bits.s0_pc := s0_pc_dup
341  predictors.io.in.bits.ghist := s0_ghist
342  predictors.io.in.bits.folded_hist := s0_folded_gh_dup
343  predictors.io.in.bits.resp_in(0) := (0.U).asTypeOf(new BranchPredictionResp)
344  // predictors.io.in.bits.resp_in(0).s1.pc := s0_pc
345  // predictors.io.in.bits.toFtq_fire := toFtq_fire
346
347  // predictors.io.out.ready := io.bpu_to_ftq.resp.ready
348
349  val redirect_req = io.ftq_to_bpu.redirect
350  val do_redirect_dup = dup_seq(RegNext(redirect_req, init=0.U.asTypeOf(io.ftq_to_bpu.redirect)))
351
352  // Pipeline logic
353  s2_redirect_dup.map(_ := false.B)
354  s3_redirect_dup.map(_ := false.B)
355
356  s3_flush_dup.map(_ := redirect_req.valid) // flush when redirect comes
357  for (((s2_flush, s3_flush), s3_redirect) <- s2_flush_dup zip s3_flush_dup zip s3_redirect_dup)
358    s2_flush := s3_flush || s3_redirect
359  for (((s1_flush, s2_flush), s2_redirect) <- s1_flush_dup zip s2_flush_dup zip s2_redirect_dup)
360    s1_flush := s2_flush || s2_redirect
361
362
363  s1_components_ready_dup.map(_ := predictors.io.s1_ready)
364  for (((s1_ready, s1_fire), s1_valid) <- s1_ready_dup zip s1_fire_dup zip s1_valid_dup)
365    s1_ready := s1_fire || !s1_valid
366  for (((s0_fire, s1_components_ready), s1_ready) <- s0_fire_dup zip s1_components_ready_dup zip s1_ready_dup)
367    s0_fire := s1_components_ready && s1_ready
368  predictors.io.s0_fire := s0_fire_dup
369
370  s2_components_ready_dup.map(_ := predictors.io.s2_ready)
371  for (((s2_ready, s2_fire), s2_valid) <- s2_ready_dup zip s2_fire_dup zip s2_valid_dup)
372    s2_ready := s2_fire || !s2_valid
373  for ((((s1_fire, s2_components_ready), s2_ready), s1_valid) <- s1_fire_dup zip s2_components_ready_dup zip s2_ready_dup zip s1_valid_dup)
374    s1_fire := s1_valid && s2_components_ready && s2_ready && io.bpu_to_ftq.resp.ready
375
376  s3_components_ready_dup.map(_ := predictors.io.s3_ready)
377  for (((s3_ready, s3_fire), s3_valid) <- s3_ready_dup zip s3_fire_dup zip s3_valid_dup)
378    s3_ready := s3_fire || !s3_valid
379  for ((((s2_fire, s3_components_ready), s3_ready), s2_valid) <- s2_fire_dup zip s3_components_ready_dup zip s3_ready_dup zip s2_valid_dup)
380    s2_fire := s2_valid && s3_components_ready && s3_ready
381
382  for ((((s0_fire, s1_flush), s1_fire), s1_valid) <- s0_fire_dup zip s1_flush_dup zip s1_fire_dup zip s1_valid_dup) {
383    when (redirect_req.valid) { s1_valid := false.B }
384      .elsewhen(s0_fire)      { s1_valid := true.B  }
385      .elsewhen(s1_flush)     { s1_valid := false.B }
386      .elsewhen(s1_fire)      { s1_valid := false.B }
387  }
388  predictors.io.s1_fire := s1_fire_dup
389
390  s2_fire_dup := s2_valid_dup
391
392  for (((((s1_fire, s2_flush), s2_fire), s2_valid), s1_flush) <-
393    s1_fire_dup zip s2_flush_dup zip s2_fire_dup zip s2_valid_dup zip s1_flush_dup) {
394
395    when (s2_flush)      { s2_valid := false.B   }
396      .elsewhen(s1_fire) { s2_valid := !s1_flush }
397      .elsewhen(s2_fire) { s2_valid := false.B   }
398  }
399
400  predictors.io.s2_fire := s2_fire_dup
401  predictors.io.s2_redirect := s2_redirect_dup
402
403  s3_fire_dup := s3_valid_dup
404
405  for (((((s2_fire, s3_flush), s3_fire), s3_valid), s2_flush) <-
406    s2_fire_dup zip s3_flush_dup zip s3_fire_dup zip s3_valid_dup zip s2_flush_dup) {
407
408    when (s3_flush)      { s3_valid := false.B   }
409      .elsewhen(s2_fire) { s3_valid := !s2_flush }
410      .elsewhen(s3_fire) { s3_valid := false.B   }
411  }
412
413  predictors.io.s3_fire := s3_fire_dup
414  predictors.io.s3_redirect := s3_redirect_dup
415
416
417  io.bpu_to_ftq.resp.valid :=
418    s1_valid_dup(2) && s2_components_ready_dup(2) && s2_ready_dup(2) ||
419    s2_fire_dup(2) && s2_redirect_dup(2) ||
420    s3_fire_dup(2) && s3_redirect_dup(2)
421  io.bpu_to_ftq.resp.bits  := predictors.io.out
422  io.bpu_to_ftq.resp.bits.last_stage_spec_info.folded_hist := s3_folded_gh_dup(2)
423  io.bpu_to_ftq.resp.bits.last_stage_spec_info.histPtr     := s3_ghist_ptr_dup(2)
424  io.bpu_to_ftq.resp.bits.last_stage_spec_info.lastBrNumOH := s3_last_br_num_oh_dup(2)
425  io.bpu_to_ftq.resp.bits.last_stage_spec_info.afhob       := s3_ahead_fh_oldest_bits_dup(2)
426
427  val full_pred_diff = WireInit(false.B)
428  val full_pred_diff_stage = WireInit(0.U)
429  val full_pred_diff_offset = WireInit(0.U)
430  for (i <- 0 until numDup - 1) {
431    when (io.bpu_to_ftq.resp.valid &&
432      ((io.bpu_to_ftq.resp.bits.s1.full_pred(i).asTypeOf(UInt()) =/= io.bpu_to_ftq.resp.bits.s1.full_pred(i+1).asTypeOf(UInt()) && io.bpu_to_ftq.resp.bits.s1.full_pred(i).hit) ||
433          (io.bpu_to_ftq.resp.bits.s2.full_pred(i).asTypeOf(UInt()) =/= io.bpu_to_ftq.resp.bits.s2.full_pred(i+1).asTypeOf(UInt()) && io.bpu_to_ftq.resp.bits.s2.full_pred(i).hit) ||
434          (io.bpu_to_ftq.resp.bits.s3.full_pred(i).asTypeOf(UInt()) =/= io.bpu_to_ftq.resp.bits.s3.full_pred(i+1).asTypeOf(UInt()) && io.bpu_to_ftq.resp.bits.s3.full_pred(i).hit))) {
435      full_pred_diff := true.B
436      full_pred_diff_offset := i.U
437      when (io.bpu_to_ftq.resp.bits.s1.full_pred(i).asTypeOf(UInt()) =/= io.bpu_to_ftq.resp.bits.s1.full_pred(i+1).asTypeOf(UInt())) {
438        full_pred_diff_stage := 1.U
439      } .elsewhen (io.bpu_to_ftq.resp.bits.s2.full_pred(i).asTypeOf(UInt()) =/= io.bpu_to_ftq.resp.bits.s2.full_pred(i+1).asTypeOf(UInt())) {
440        full_pred_diff_stage := 2.U
441      } .otherwise {
442        full_pred_diff_stage := 3.U
443      }
444    }
445  }
446  XSError(full_pred_diff, "Full prediction difference detected!")
447
448  npcGen_dup.zip(s0_pc_reg_dup).map{ case (gen, reg) =>
449    gen.register(true.B, reg, Some("stallPC"), 0)}
450  foldedGhGen_dup.zip(s0_folded_gh_reg_dup).map{ case (gen, reg) =>
451    gen.register(true.B, reg, Some("stallFGH"), 0)}
452  ghistPtrGen_dup.zip(s0_ghist_ptr_reg_dup).map{ case (gen, reg) =>
453    gen.register(true.B, reg, Some("stallGHPtr"), 0)}
454  lastBrNumOHGen_dup.zip(s0_last_br_num_oh_reg_dup).map{ case (gen, reg) =>
455    gen.register(true.B, reg, Some("stallBrNumOH"), 0)}
456  aheadFhObGen_dup.zip(s0_ahead_fh_oldest_bits_reg_dup).map{ case (gen, reg) =>
457    gen.register(true.B, reg, Some("stallAFHOB"), 0)}
458
459  // assign pred cycle for profiling
460  io.bpu_to_ftq.resp.bits.s1.full_pred.map(_.predCycle.map(_ := GTimer()))
461  io.bpu_to_ftq.resp.bits.s2.full_pred.map(_.predCycle.map(_ := GTimer()))
462  io.bpu_to_ftq.resp.bits.s3.full_pred.map(_.predCycle.map(_ := GTimer()))
463
464
465
466  // History manage
467  // s1
468  val s1_possible_predicted_ghist_ptrs_dup = s1_ghist_ptr_dup.map(ptr => (0 to numBr).map(ptr - _.U))
469  val s1_predicted_ghist_ptr_dup = s1_possible_predicted_ghist_ptrs_dup.zip(resp.s1.lastBrPosOH).map{ case (ptr, oh) => Mux1H(oh, ptr)}
470  val s1_possible_predicted_fhs_dup =
471    for (((((fgh, afh), br_num_oh), t), br_pos_oh) <-
472      s1_folded_gh_dup zip s1_ahead_fh_oldest_bits_dup zip s1_last_br_num_oh_dup zip resp.s1.brTaken zip resp.s1.lastBrPosOH)
473      yield (0 to numBr).map(i =>
474        fgh.update(afh, br_num_oh, i, t & br_pos_oh(i))
475      )
476  val s1_predicted_fh_dup = resp.s1.lastBrPosOH.zip(s1_possible_predicted_fhs_dup).map{ case (oh, fh) => Mux1H(oh, fh)}
477
478  val s1_ahead_fh_ob_src_dup = dup_wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos))
479  s1_ahead_fh_ob_src_dup.zip(s1_ghist_ptr_dup).map{ case (src, ptr) => src.read(ghv, ptr)}
480
481  if (EnableGHistDiff) {
482    val s1_predicted_ghist = WireInit(getHist(s1_predicted_ghist_ptr_dup(0)).asTypeOf(Vec(HistoryLength, Bool())))
483    for (i <- 0 until numBr) {
484      when (resp.s1.shouldShiftVec(0)(i)) {
485        s1_predicted_ghist(i) := resp.s1.brTaken(0) && (i==0).B
486      }
487    }
488    when (s1_valid_dup(0)) {
489      s0_ghist := s1_predicted_ghist.asUInt
490    }
491  }
492
493  val s1_ghv_wens = (0 until HistoryLength).map(n =>
494    (0 until numBr).map(b => (s1_ghist_ptr_dup(0)).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s1.shouldShiftVec(0)(b) && s1_valid_dup(0)))
495  val s1_ghv_wdatas = (0 until HistoryLength).map(n =>
496    Mux1H(
497      (0 until numBr).map(b => (
498        (s1_ghist_ptr_dup(0)).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s1.shouldShiftVec(0)(b),
499        resp.s1.brTaken(0) && resp.s1.lastBrPosOH(0)(b+1)
500      ))
501    )
502  )
503
504
505  for (((npcGen, s1_valid), s1_target) <- npcGen_dup zip s1_valid_dup zip resp.s1.getTarget)
506    npcGen.register(s1_valid, s1_target, Some("s1_target"), 4)
507  for (((foldedGhGen, s1_valid), s1_predicted_fh) <- foldedGhGen_dup zip s1_valid_dup zip s1_predicted_fh_dup)
508    foldedGhGen.register(s1_valid, s1_predicted_fh, Some("s1_FGH"), 4)
509  for (((ghistPtrGen, s1_valid), s1_predicted_ghist_ptr) <- ghistPtrGen_dup zip s1_valid_dup zip s1_predicted_ghist_ptr_dup)
510    ghistPtrGen.register(s1_valid, s1_predicted_ghist_ptr, Some("s1_GHPtr"), 4)
511  for (((lastBrNumOHGen, s1_valid), s1_brPosOH) <- lastBrNumOHGen_dup zip s1_valid_dup zip resp.s1.lastBrPosOH.map(_.asUInt))
512    lastBrNumOHGen.register(s1_valid, s1_brPosOH, Some("s1_BrNumOH"), 4)
513  for (((aheadFhObGen, s1_valid), s1_ahead_fh_ob_src) <- aheadFhObGen_dup zip s1_valid_dup zip s1_ahead_fh_ob_src_dup)
514    aheadFhObGen.register(s1_valid, s1_ahead_fh_ob_src, Some("s1_AFHOB"), 4)
515  ghvBitWriteGens.zip(s1_ghv_wens).zipWithIndex.map{case ((b, w), i) =>
516    b.register(w.reduce(_||_), s1_ghv_wdatas(i), Some(s"s1_new_bit_$i"), 4)
517  }
518
519  class PreviousPredInfo extends Bundle {
520    val hit = Vec(numDup, Bool())
521    val target = Vec(numDup, UInt(VAddrBits.W))
522    val lastBrPosOH = Vec(numDup, Vec(numBr+1, Bool()))
523    val taken = Vec(numDup, Bool())
524    val takenMask = Vec(numDup, Vec(numBr, Bool()))
525    val cfiIndex = Vec(numDup, UInt(log2Ceil(PredictWidth).W))
526  }
527
528  def preds_needs_redirect_vec_dup(x: PreviousPredInfo, y: BranchPredictionBundle) = {
529    // Timing optimization
530    // We first compare all target with previous stage target,
531    // then select the difference by taken & hit
532    // Usually target is generated quicker than taken, so do target compare before select can help timing
533    val targetDiffVec: IndexedSeq[Vec[Bool]] =
534      x.target.zip(y.getAllTargets).map {
535        case (xTarget, yAllTarget) => VecInit(yAllTarget.map(_ =/= xTarget))
536      } // [numDup][all Target comparison]
537    val targetDiff   : IndexedSeq[Bool]      =
538      targetDiffVec.zip(x.hit).zip(x.takenMask).map {
539        case ((diff, hit), takenMask) => selectByTaken(takenMask, hit, diff)
540      } // [numDup]
541
542    val lastBrPosOHDiff: IndexedSeq[Bool]      = x.lastBrPosOH.zip(y.lastBrPosOH).map { case (oh1, oh2) => oh1.asUInt =/= oh2.asUInt }
543    val takenDiff      : IndexedSeq[Bool]      = x.taken.zip(y.taken).map { case (t1, t2) => t1 =/= t2 }
544    val takenOffsetDiff: IndexedSeq[Bool]      = x.cfiIndex.zip(y.cfiIndex).zip(x.taken).zip(y.taken).map { case (((i1, i2), xt), yt) => xt && yt && i1 =/= i2.bits }
545    VecInit(
546      for ((((tgtd, lbpohd), tkd), tod) <-
547             targetDiff zip lastBrPosOHDiff zip takenDiff zip takenOffsetDiff)
548      yield VecInit(tgtd, lbpohd, tkd, tod)
549      // x.shouldShiftVec.asUInt =/= y.shouldShiftVec.asUInt,
550      // x.brTaken =/= y.brTaken
551    )
552  }
553
554  // s2
555  val s2_possible_predicted_ghist_ptrs_dup = s2_ghist_ptr_dup.map(ptr => (0 to numBr).map(ptr - _.U))
556  val s2_predicted_ghist_ptr_dup = s2_possible_predicted_ghist_ptrs_dup.zip(resp.s2.lastBrPosOH).map{ case (ptr, oh) => Mux1H(oh, ptr)}
557
558  val s2_possible_predicted_fhs_dup =
559    for ((((fgh, afh), br_num_oh), full_pred) <-
560      s2_folded_gh_dup zip s2_ahead_fh_oldest_bits_dup zip s2_last_br_num_oh_dup zip resp.s2.full_pred)
561      yield (0 to numBr).map(i =>
562        fgh.update(afh, br_num_oh, i, if (i > 0) full_pred.br_taken_mask(i-1) else false.B)
563      )
564  val s2_predicted_fh_dup = resp.s2.lastBrPosOH.zip(s2_possible_predicted_fhs_dup).map{ case (oh, fh) => Mux1H(oh, fh)}
565
566  val s2_ahead_fh_ob_src_dup = dup_wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos))
567  s2_ahead_fh_ob_src_dup.zip(s2_ghist_ptr_dup).map{ case (src, ptr) => src.read(ghv, ptr)}
568
569  if (EnableGHistDiff) {
570    val s2_predicted_ghist = WireInit(getHist(s2_predicted_ghist_ptr_dup(0)).asTypeOf(Vec(HistoryLength, Bool())))
571    for (i <- 0 until numBr) {
572      when (resp.s2.shouldShiftVec(0)(i)) {
573        s2_predicted_ghist(i) := resp.s2.brTaken(0) && (i==0).B
574      }
575    }
576    when(s2_redirect_dup(0)) {
577      s0_ghist := s2_predicted_ghist.asUInt
578    }
579  }
580
581  val s2_ghv_wens = (0 until HistoryLength).map(n =>
582    (0 until numBr).map(b => (s2_ghist_ptr_dup(0)).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s2.shouldShiftVec(0)(b) && s2_redirect_dup(0)))
583  val s2_ghv_wdatas = (0 until HistoryLength).map(n =>
584    Mux1H(
585      (0 until numBr).map(b => (
586        (s2_ghist_ptr_dup(0)).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s2.shouldShiftVec(0)(b),
587        resp.s2.full_pred(0).real_br_taken_mask()(b)
588      ))
589    )
590  )
591
592  val s1_pred_info = Wire(new PreviousPredInfo)
593  s1_pred_info.hit := resp.s1.full_pred.map(_.hit)
594  s1_pred_info.target := resp.s1.getTarget
595  s1_pred_info.lastBrPosOH := resp.s1.lastBrPosOH
596  s1_pred_info.taken := resp.s1.taken
597  s1_pred_info.takenMask := resp.s1.full_pred.map(_.taken_mask_on_slot)
598  s1_pred_info.cfiIndex := resp.s1.cfiIndex.map { case x => x.bits }
599
600  val previous_s1_pred_info = RegEnable(s1_pred_info, 0.U.asTypeOf(new PreviousPredInfo), s1_fire_dup(0))
601
602  val s2_redirect_s1_last_pred_vec_dup = preds_needs_redirect_vec_dup(previous_s1_pred_info, resp.s2)
603
604  for (((s2_redirect, s2_fire), s2_redirect_s1_last_pred_vec) <- s2_redirect_dup zip s2_fire_dup zip s2_redirect_s1_last_pred_vec_dup)
605    s2_redirect := s2_fire && s2_redirect_s1_last_pred_vec.reduce(_||_)
606
607
608  for (((npcGen, s2_redirect), s2_target) <- npcGen_dup zip s2_redirect_dup zip resp.s2.getTarget)
609    npcGen.register(s2_redirect, s2_target, Some("s2_target"), 5)
610  for (((foldedGhGen, s2_redirect), s2_predicted_fh) <- foldedGhGen_dup zip s2_redirect_dup zip s2_predicted_fh_dup)
611    foldedGhGen.register(s2_redirect, s2_predicted_fh, Some("s2_FGH"), 5)
612  for (((ghistPtrGen, s2_redirect), s2_predicted_ghist_ptr) <- ghistPtrGen_dup zip s2_redirect_dup zip s2_predicted_ghist_ptr_dup)
613    ghistPtrGen.register(s2_redirect, s2_predicted_ghist_ptr, Some("s2_GHPtr"), 5)
614  for (((lastBrNumOHGen, s2_redirect), s2_brPosOH) <- lastBrNumOHGen_dup zip s2_redirect_dup zip resp.s2.lastBrPosOH.map(_.asUInt))
615    lastBrNumOHGen.register(s2_redirect, s2_brPosOH, Some("s2_BrNumOH"), 5)
616  for (((aheadFhObGen, s2_redirect), s2_ahead_fh_ob_src) <- aheadFhObGen_dup zip s2_redirect_dup zip s2_ahead_fh_ob_src_dup)
617    aheadFhObGen.register(s2_redirect, s2_ahead_fh_ob_src, Some("s2_AFHOB"), 5)
618  ghvBitWriteGens.zip(s2_ghv_wens).zipWithIndex.map{case ((b, w), i) =>
619    b.register(w.reduce(_||_), s2_ghv_wdatas(i), Some(s"s2_new_bit_$i"), 5)
620  }
621
622  XSPerfAccumulate("s2_redirect_because_target_diff", s2_fire_dup(0) && s2_redirect_s1_last_pred_vec_dup(0)(0))
623  XSPerfAccumulate("s2_redirect_because_branch_num_diff", s2_fire_dup(0) && s2_redirect_s1_last_pred_vec_dup(0)(1))
624  XSPerfAccumulate("s2_redirect_because_direction_diff", s2_fire_dup(0) && s2_redirect_s1_last_pred_vec_dup(0)(2))
625  XSPerfAccumulate("s2_redirect_because_cfi_idx_diff", s2_fire_dup(0) && s2_redirect_s1_last_pred_vec_dup(0)(3))
626  // XSPerfAccumulate("s2_redirect_because_shouldShiftVec_diff", s2_fire && s2_redirect_s1_last_pred_vec(4))
627  // XSPerfAccumulate("s2_redirect_because_brTaken_diff", s2_fire && s2_redirect_s1_last_pred_vec(5))
628  XSPerfAccumulate("s2_redirect_because_fallThroughError", s2_fire_dup(0) && resp.s2.fallThruError(0))
629
630  XSPerfAccumulate("s2_redirect_when_taken", s2_redirect_dup(0) && resp.s2.taken(0) && resp.s2.full_pred(0).hit)
631  XSPerfAccumulate("s2_redirect_when_not_taken", s2_redirect_dup(0) && !resp.s2.taken(0) && resp.s2.full_pred(0).hit)
632  XSPerfAccumulate("s2_redirect_when_not_hit", s2_redirect_dup(0) && !resp.s2.full_pred(0).hit)
633
634
635  // s3
636  val s3_possible_predicted_ghist_ptrs_dup = s3_ghist_ptr_dup.map(ptr => (0 to numBr).map(ptr - _.U))
637  val s3_predicted_ghist_ptr_dup = s3_possible_predicted_ghist_ptrs_dup.zip(resp.s3.lastBrPosOH).map{ case (ptr, oh) => Mux1H(oh, ptr)}
638
639  val s3_possible_predicted_fhs_dup =
640    for ((((fgh, afh), br_num_oh), full_pred) <-
641      s3_folded_gh_dup zip s3_ahead_fh_oldest_bits_dup zip s3_last_br_num_oh_dup zip resp.s3.full_pred)
642      yield (0 to numBr).map(i =>
643        fgh.update(afh, br_num_oh, i, if (i > 0) full_pred.br_taken_mask(i-1) else false.B)
644      )
645  val s3_predicted_fh_dup = resp.s3.lastBrPosOH.zip(s3_possible_predicted_fhs_dup).map{ case (oh, fh) => Mux1H(oh, fh)}
646
647  val s3_ahead_fh_ob_src_dup = dup_wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos))
648  s3_ahead_fh_ob_src_dup.zip(s3_ghist_ptr_dup).map{ case (src, ptr) => src.read(ghv, ptr)}
649
650  if (EnableGHistDiff) {
651    val s3_predicted_ghist = WireInit(getHist(s3_predicted_ghist_ptr_dup(0)).asTypeOf(Vec(HistoryLength, Bool())))
652    for (i <- 0 until numBr) {
653      when (resp.s3.shouldShiftVec(0)(i)) {
654        s3_predicted_ghist(i) := resp.s3.brTaken(0) && (i==0).B
655      }
656    }
657    when(s3_redirect_dup(0)) {
658      s0_ghist := s3_predicted_ghist.asUInt
659    }
660  }
661
662  val s3_ghv_wens = (0 until HistoryLength).map(n =>
663    (0 until numBr).map(b => (s3_ghist_ptr_dup(0)).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s3.shouldShiftVec(0)(b) && s3_redirect_dup(0)))
664  val s3_ghv_wdatas = (0 until HistoryLength).map(n =>
665    Mux1H(
666      (0 until numBr).map(b => (
667        (s3_ghist_ptr_dup(0)).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s3.shouldShiftVec(0)(b),
668        resp.s3.full_pred(0).real_br_taken_mask()(b)
669      ))
670    )
671  )
672
673  val previous_s2_pred = RegEnable(resp.s2, 0.U.asTypeOf(resp.s2), s2_fire_dup(0))
674
675  val s3_redirect_on_br_taken_dup = resp.s3.full_pred.zip(previous_s2_pred.full_pred).map {case (fp1, fp2) => fp1.real_br_taken_mask().asUInt =/= fp2.real_br_taken_mask().asUInt}
676  val s3_both_first_taken_dup = resp.s3.full_pred.zip(previous_s2_pred.full_pred).map {case (fp1, fp2) => fp1.real_br_taken_mask()(0) && fp2.real_br_taken_mask()(0)}
677  val s3_redirect_on_target_dup = resp.s3.getTarget.zip(previous_s2_pred.getTarget).map {case (t1, t2) => t1 =/= t2}
678  val s3_redirect_on_jalr_target_dup = resp.s3.full_pred.zip(previous_s2_pred.full_pred).map {case (fp1, fp2) => fp1.hit_taken_on_jalr && fp1.jalr_target =/= fp2.jalr_target}
679  val s3_redirect_on_fall_thru_error_dup = resp.s3.fallThruError
680
681  for ((((((s3_redirect, s3_fire), s3_redirect_on_br_taken), s3_redirect_on_target), s3_redirect_on_fall_thru_error), s3_both_first_taken) <-
682    s3_redirect_dup zip s3_fire_dup zip s3_redirect_on_br_taken_dup zip s3_redirect_on_target_dup zip s3_redirect_on_fall_thru_error_dup zip s3_both_first_taken_dup) {
683
684    s3_redirect := s3_fire && (
685      (s3_redirect_on_br_taken && !s3_both_first_taken) || s3_redirect_on_target || s3_redirect_on_fall_thru_error
686    )
687  }
688
689  XSPerfAccumulate(f"s3_redirect_on_br_taken", s3_fire_dup(0) && s3_redirect_on_br_taken_dup(0))
690  XSPerfAccumulate(f"s3_redirect_on_jalr_target", s3_fire_dup(0) && s3_redirect_on_jalr_target_dup(0))
691  XSPerfAccumulate(f"s3_redirect_on_others", s3_redirect_dup(0) && !(s3_redirect_on_br_taken_dup(0) || s3_redirect_on_jalr_target_dup(0)))
692
693  for (((npcGen, s3_redirect), s3_target) <- npcGen_dup zip s3_redirect_dup zip resp.s3.getTarget)
694    npcGen.register(s3_redirect, s3_target, Some("s3_target"), 3)
695  for (((foldedGhGen, s3_redirect), s3_predicted_fh) <- foldedGhGen_dup zip s3_redirect_dup zip s3_predicted_fh_dup)
696    foldedGhGen.register(s3_redirect, s3_predicted_fh, Some("s3_FGH"), 3)
697  for (((ghistPtrGen, s3_redirect), s3_predicted_ghist_ptr) <- ghistPtrGen_dup zip s3_redirect_dup zip s3_predicted_ghist_ptr_dup)
698    ghistPtrGen.register(s3_redirect, s3_predicted_ghist_ptr, Some("s3_GHPtr"), 3)
699  for (((lastBrNumOHGen, s3_redirect), s3_brPosOH) <- lastBrNumOHGen_dup zip s3_redirect_dup zip resp.s3.lastBrPosOH.map(_.asUInt))
700    lastBrNumOHGen.register(s3_redirect, s3_brPosOH, Some("s3_BrNumOH"), 3)
701  for (((aheadFhObGen, s3_redirect), s3_ahead_fh_ob_src) <- aheadFhObGen_dup zip s3_redirect_dup zip s3_ahead_fh_ob_src_dup)
702    aheadFhObGen.register(s3_redirect, s3_ahead_fh_ob_src, Some("s3_AFHOB"), 3)
703  ghvBitWriteGens.zip(s3_ghv_wens).zipWithIndex.map{case ((b, w), i) =>
704    b.register(w.reduce(_||_), s3_ghv_wdatas(i), Some(s"s3_new_bit_$i"), 3)
705  }
706
707  // Send signal tell Ftq override
708  val s2_ftq_idx = RegEnable(io.ftq_to_bpu.enq_ptr, s1_fire_dup(0))
709  val s3_ftq_idx = RegEnable(s2_ftq_idx, s2_fire_dup(0))
710
711  for (((to_ftq_s1_valid, s1_fire), s1_flush) <- io.bpu_to_ftq.resp.bits.s1.valid zip s1_fire_dup zip s1_flush_dup) {
712    to_ftq_s1_valid := s1_fire && !s1_flush
713  }
714  io.bpu_to_ftq.resp.bits.s1.hasRedirect.map(_ := false.B)
715  io.bpu_to_ftq.resp.bits.s1.ftq_idx := DontCare
716  for (((to_ftq_s2_valid, s2_fire), s2_flush) <- io.bpu_to_ftq.resp.bits.s2.valid zip s2_fire_dup zip s2_flush_dup) {
717    to_ftq_s2_valid := s2_fire && !s2_flush
718  }
719  io.bpu_to_ftq.resp.bits.s2.hasRedirect.zip(s2_redirect_dup).map {case (hr, r) => hr := r}
720  io.bpu_to_ftq.resp.bits.s2.ftq_idx := s2_ftq_idx
721  for (((to_ftq_s3_valid, s3_fire), s3_flush) <- io.bpu_to_ftq.resp.bits.s3.valid zip s3_fire_dup zip s3_flush_dup) {
722    to_ftq_s3_valid := s3_fire && !s3_flush
723  }
724  io.bpu_to_ftq.resp.bits.s3.hasRedirect.zip(s3_redirect_dup).map {case (hr, r) => hr := r}
725  io.bpu_to_ftq.resp.bits.s3.ftq_idx := s3_ftq_idx
726
727  predictors.io.update := RegNext(io.ftq_to_bpu.update)
728  predictors.io.update.bits.ghist := RegNext(getHist(io.ftq_to_bpu.update.bits.spec_info.histPtr))
729
730  val redirect_dup = do_redirect_dup.map(_.bits)
731  predictors.io.redirect := do_redirect_dup(0)
732
733  // Redirect logic
734  val shift_dup = redirect_dup.map(_.cfiUpdate.shift)
735  val addIntoHist_dup = redirect_dup.map(_.cfiUpdate.addIntoHist)
736  // TODO: remove these below
737  val shouldShiftVec_dup = shift_dup.map(shift => Mux(shift === 0.U, VecInit(0.U((1 << (log2Ceil(numBr) + 1)).W).asBools), VecInit((LowerMask(1.U << (shift-1.U))).asBools)))
738  // TODO end
739  val afhob_dup = redirect_dup.map(_.cfiUpdate.afhob)
740  val lastBrNumOH_dup = redirect_dup.map(_.cfiUpdate.lastBrNumOH)
741
742
743  val isBr_dup = redirect_dup.map(_.cfiUpdate.pd.isBr)
744  val taken_dup = redirect_dup.map(_.cfiUpdate.taken)
745  val real_br_taken_mask_dup =
746    for (((shift, taken), addIntoHist) <- shift_dup zip taken_dup zip addIntoHist_dup)
747      yield (0 until numBr).map(i => shift === (i+1).U && taken && addIntoHist )
748
749  val oldPtr_dup = redirect_dup.map(_.cfiUpdate.histPtr)
750  val oldFh_dup = redirect_dup.map(_.cfiUpdate.folded_hist)
751  val updated_ptr_dup = oldPtr_dup.zip(shift_dup).map {case (oldPtr, shift) => oldPtr - shift}
752  val updated_fh_dup =
753    for ((((((oldFh, afhob), lastBrNumOH), taken), addIntoHist), shift) <-
754      oldFh_dup zip afhob_dup zip lastBrNumOH_dup zip taken_dup zip addIntoHist_dup zip shift_dup)
755    yield VecInit((0 to numBr).map(i => oldFh.update(afhob, lastBrNumOH, i, taken && addIntoHist)))(shift)
756  val thisBrNumOH_dup = shift_dup.map(shift => UIntToOH(shift, numBr+1))
757  val thisAheadFhOb_dup = dup_wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos))
758  thisAheadFhOb_dup.zip(oldPtr_dup).map {case (afhob, oldPtr) => afhob.read(ghv, oldPtr)}
759  val redirect_ghv_wens = (0 until HistoryLength).map(n =>
760    (0 until numBr).map(b => oldPtr_dup(0).value === (CGHPtr(false.B, n.U) + b.U).value && shouldShiftVec_dup(0)(b) && do_redirect_dup(0).valid))
761  val redirect_ghv_wdatas = (0 until HistoryLength).map(n =>
762    Mux1H(
763      (0 until numBr).map(b => oldPtr_dup(0).value === (CGHPtr(false.B, n.U) + b.U).value && shouldShiftVec_dup(0)(b)),
764      real_br_taken_mask_dup(0)
765    )
766  )
767
768  if (EnableGHistDiff) {
769    val updated_ghist = WireInit(getHist(updated_ptr_dup(0)).asTypeOf(Vec(HistoryLength, Bool())))
770    for (i <- 0 until numBr) {
771      when (shift_dup(0) >= (i+1).U) {
772        updated_ghist(i) := taken_dup(0) && addIntoHist_dup(0) && (i==0).B
773      }
774    }
775    when(do_redirect_dup(0).valid) {
776      s0_ghist := updated_ghist.asUInt
777    }
778  }
779
780  // Commit time history checker
781  if (EnableCommitGHistDiff) {
782    val commitGHist = RegInit(0.U.asTypeOf(Vec(HistoryLength, Bool())))
783    val commitGHistPtr = RegInit(0.U.asTypeOf(new CGHPtr))
784    def getCommitHist(ptr: CGHPtr): UInt =
785      (Cat(commitGHist.asUInt, commitGHist.asUInt) >> (ptr.value+1.U))(HistoryLength-1, 0)
786
787    val updateValid        : Bool      = io.ftq_to_bpu.update.valid
788    val branchValidMask    : UInt      = io.ftq_to_bpu.update.bits.ftb_entry.brValids.asUInt
789    val branchCommittedMask: Vec[Bool] = io.ftq_to_bpu.update.bits.br_committed
790    val misPredictMask     : UInt      = io.ftq_to_bpu.update.bits.mispred_mask.asUInt
791    val takenMask          : UInt      =
792      io.ftq_to_bpu.update.bits.br_taken_mask.asUInt |
793        io.ftq_to_bpu.update.bits.ftb_entry.always_taken.asUInt // Always taken branch is recorded in history
794    val takenIdx       : UInt = (PriorityEncoder(takenMask) + 1.U((log2Ceil(numBr)+1).W)).asUInt
795    val misPredictIdx  : UInt = (PriorityEncoder(misPredictMask) + 1.U((log2Ceil(numBr)+1).W)).asUInt
796    val shouldShiftMask: UInt = Mux(takenMask.orR,
797        LowerMask(takenIdx).asUInt,
798        ((1 << numBr) - 1).asUInt) &
799      Mux(misPredictMask.orR,
800        LowerMask(misPredictIdx).asUInt,
801        ((1 << numBr) - 1).asUInt) &
802      branchCommittedMask.asUInt
803    val updateShift    : UInt   =
804      Mux(updateValid && branchValidMask.orR, PopCount(branchValidMask & shouldShiftMask), 0.U)
805
806    // Maintain the commitGHist
807    for (i <- 0 until numBr) {
808      when(updateShift >= (i + 1).U) {
809        val ptr: CGHPtr = commitGHistPtr - i.asUInt
810        commitGHist(ptr.value) := takenMask(i)
811      }
812    }
813    when(updateValid) {
814      commitGHistPtr := commitGHistPtr - updateShift
815    }
816
817    // Calculate true history using Parallel XOR
818    def computeFoldedHist(hist: UInt, compLen: Int)(histLen: Int): UInt = {
819      if (histLen > 0) {
820        val nChunks     = (histLen + compLen - 1) / compLen
821        val hist_chunks = (0 until nChunks) map { i =>
822          hist(min((i + 1) * compLen, histLen) - 1, i * compLen)
823        }
824        ParallelXOR(hist_chunks)
825      }
826      else 0.U
827    }
828    // Do differential
829    val predictFHistAll: AllFoldedHistories = io.ftq_to_bpu.update.bits.spec_info.folded_hist
830    TageTableInfos.map {
831      case (nRows, histLen, _) => {
832        val nRowsPerBr = nRows / numBr
833        val commitTrueHist: UInt = computeFoldedHist(getCommitHist(commitGHistPtr), log2Ceil(nRowsPerBr))(histLen)
834        val predictFHist         : UInt = predictFHistAll.
835          getHistWithInfo((histLen, min(histLen, log2Ceil(nRowsPerBr)))).folded_hist
836        XSWarn(updateValid && predictFHist =/= commitTrueHist,
837          p"predict time ghist: ${predictFHist} is different from commit time: ${commitTrueHist}\n")
838      }
839    }
840  }
841
842
843  // val updatedGh = oldGh.update(shift, taken && addIntoHist)
844  for ((npcGen, do_redirect) <- npcGen_dup zip do_redirect_dup)
845    npcGen.register(do_redirect.valid, do_redirect.bits.cfiUpdate.target, Some("redirect_target"), 2)
846  for (((foldedGhGen, do_redirect), updated_fh) <- foldedGhGen_dup zip do_redirect_dup zip updated_fh_dup)
847    foldedGhGen.register(do_redirect.valid, updated_fh, Some("redirect_FGHT"), 2)
848  for (((ghistPtrGen, do_redirect), updated_ptr) <- ghistPtrGen_dup zip do_redirect_dup zip updated_ptr_dup)
849    ghistPtrGen.register(do_redirect.valid, updated_ptr, Some("redirect_GHPtr"), 2)
850  for (((lastBrNumOHGen, do_redirect), thisBrNumOH) <- lastBrNumOHGen_dup zip do_redirect_dup zip thisBrNumOH_dup)
851    lastBrNumOHGen.register(do_redirect.valid, thisBrNumOH, Some("redirect_BrNumOH"), 2)
852  for (((aheadFhObGen, do_redirect), thisAheadFhOb) <- aheadFhObGen_dup zip do_redirect_dup zip thisAheadFhOb_dup)
853    aheadFhObGen.register(do_redirect.valid, thisAheadFhOb, Some("redirect_AFHOB"), 2)
854  ghvBitWriteGens.zip(redirect_ghv_wens).zipWithIndex.map{case ((b, w), i) =>
855    b.register(w.reduce(_||_), redirect_ghv_wdatas(i), Some(s"redirect_new_bit_$i"), 2)
856  }
857  // no need to assign s0_last_pred
858
859  // val need_reset = RegNext(reset.asBool) && !reset.asBool
860
861  // Reset
862  // npcGen.register(need_reset, resetVector.U, Some("reset_pc"), 1)
863  // foldedGhGen.register(need_reset, 0.U.asTypeOf(s0_folded_gh), Some("reset_FGH"), 1)
864  // ghistPtrGen.register(need_reset, 0.U.asTypeOf(new CGHPtr), Some("reset_GHPtr"), 1)
865
866  s0_pc_dup.zip(npcGen_dup).map {case (s0_pc, npcGen) => s0_pc := npcGen()}
867  s0_folded_gh_dup.zip(foldedGhGen_dup).map {case (s0_folded_gh, foldedGhGen) => s0_folded_gh := foldedGhGen()}
868  s0_ghist_ptr_dup.zip(ghistPtrGen_dup).map {case (s0_ghist_ptr, ghistPtrGen) => s0_ghist_ptr := ghistPtrGen()}
869  s0_ahead_fh_oldest_bits_dup.zip(aheadFhObGen_dup).map {case (s0_ahead_fh_oldest_bits, aheadFhObGen) =>
870    s0_ahead_fh_oldest_bits := aheadFhObGen()}
871  s0_last_br_num_oh_dup.zip(lastBrNumOHGen_dup).map {case (s0_last_br_num_oh, lastBrNumOHGen) =>
872    s0_last_br_num_oh := lastBrNumOHGen()}
873  (ghv_write_datas zip ghvBitWriteGens).map{case (wd, d) => wd := d()}
874  for (i <- 0 until HistoryLength) {
875    ghv_wens(i) := Seq(s1_ghv_wens, s2_ghv_wens, s3_ghv_wens, redirect_ghv_wens).map(_(i).reduce(_||_)).reduce(_||_)
876    when (ghv_wens(i)) {
877      ghv(i) := ghv_write_datas(i)
878    }
879  }
880
881  // TODO: signals for memVio and other Redirects
882  controlRedirectBubble := do_redirect_dup(0).valid && do_redirect_dup(0).bits.ControlRedirectBubble
883  ControlBTBMissBubble := do_redirect_dup(0).bits.ControlBTBMissBubble
884  TAGEMissBubble := do_redirect_dup(0).bits.TAGEMissBubble
885  SCMissBubble := do_redirect_dup(0).bits.SCMissBubble
886  ITTAGEMissBubble := do_redirect_dup(0).bits.ITTAGEMissBubble
887  RASMissBubble := do_redirect_dup(0).bits.RASMissBubble
888
889  memVioRedirectBubble := do_redirect_dup(0).valid && do_redirect_dup(0).bits.MemVioRedirectBubble
890  otherRedirectBubble := do_redirect_dup(0).valid && do_redirect_dup(0).bits.OtherRedirectBubble
891  btbMissBubble := do_redirect_dup(0).valid && do_redirect_dup(0).bits.BTBMissBubble
892  overrideBubble(0) := s2_redirect_dup(0)
893  overrideBubble(1) := s3_redirect_dup(0)
894  ftqUpdateBubble(0) := !s1_components_ready_dup(0)
895  ftqUpdateBubble(1) := !s2_components_ready_dup(0)
896  ftqUpdateBubble(2) := !s3_components_ready_dup(0)
897  ftqFullStall := !io.bpu_to_ftq.resp.ready
898  io.bpu_to_ftq.resp.bits.topdown_info := topdown_stages(numOfStage - 1)
899
900  // topdown handling logic here
901  when (controlRedirectBubble) {
902    /*
903    for (i <- 0 until numOfStage)
904      topdown_stages(i).reasons(TopDownCounters.ControlRedirectBubble.id) := true.B
905    io.bpu_to_ftq.resp.bits.topdown_info.reasons(TopDownCounters.ControlRedirectBubble.id) := true.B
906    */
907    when (ControlBTBMissBubble) {
908      for (i <- 0 until numOfStage)
909        topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B
910      io.bpu_to_ftq.resp.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
911    } .elsewhen (TAGEMissBubble) {
912      for (i <- 0 until numOfStage)
913        topdown_stages(i).reasons(TopDownCounters.TAGEMissBubble.id) := true.B
914      io.bpu_to_ftq.resp.bits.topdown_info.reasons(TopDownCounters.TAGEMissBubble.id) := true.B
915    } .elsewhen (SCMissBubble) {
916      for (i <- 0 until numOfStage)
917        topdown_stages(i).reasons(TopDownCounters.SCMissBubble.id) := true.B
918      io.bpu_to_ftq.resp.bits.topdown_info.reasons(TopDownCounters.SCMissBubble.id) := true.B
919    } .elsewhen (ITTAGEMissBubble) {
920      for (i <- 0 until numOfStage)
921        topdown_stages(i).reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
922      io.bpu_to_ftq.resp.bits.topdown_info.reasons(TopDownCounters.ITTAGEMissBubble.id) := true.B
923    } .elsewhen (RASMissBubble) {
924      for (i <- 0 until numOfStage)
925        topdown_stages(i).reasons(TopDownCounters.RASMissBubble.id) := true.B
926      io.bpu_to_ftq.resp.bits.topdown_info.reasons(TopDownCounters.RASMissBubble.id) := true.B
927    }
928  }
929  when (memVioRedirectBubble) {
930    for (i <- 0 until numOfStage)
931      topdown_stages(i).reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
932    io.bpu_to_ftq.resp.bits.topdown_info.reasons(TopDownCounters.MemVioRedirectBubble.id) := true.B
933  }
934  when (otherRedirectBubble) {
935    for (i <- 0 until numOfStage)
936      topdown_stages(i).reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
937    io.bpu_to_ftq.resp.bits.topdown_info.reasons(TopDownCounters.OtherRedirectBubble.id) := true.B
938  }
939  when (btbMissBubble) {
940    for (i <- 0 until numOfStage)
941      topdown_stages(i).reasons(TopDownCounters.BTBMissBubble.id) := true.B
942    io.bpu_to_ftq.resp.bits.topdown_info.reasons(TopDownCounters.BTBMissBubble.id) := true.B
943  }
944
945  for (i <- 0 until numOfStage) {
946    if (i < numOfStage - overrideStage) {
947      when (overrideBubble(i)) {
948        for (j <- 0 to i)
949          topdown_stages(j).reasons(TopDownCounters.OverrideBubble.id) := true.B
950      }
951    }
952    if (i < numOfStage - ftqUpdateStage) {
953      when (ftqUpdateBubble(i)) {
954        topdown_stages(i).reasons(TopDownCounters.FtqUpdateBubble.id) := true.B
955      }
956    }
957  }
958  when (ftqFullStall) {
959    topdown_stages(0).reasons(TopDownCounters.FtqFullStall.id) := true.B
960  }
961
962  XSError(isBefore(redirect_dup(0).cfiUpdate.histPtr, s3_ghist_ptr_dup(0)) && do_redirect_dup(0).valid,
963    p"s3_ghist_ptr ${s3_ghist_ptr_dup(0)} exceeds redirect histPtr ${redirect_dup(0).cfiUpdate.histPtr}\n")
964  XSError(isBefore(redirect_dup(0).cfiUpdate.histPtr, s2_ghist_ptr_dup(0)) && do_redirect_dup(0).valid,
965    p"s2_ghist_ptr ${s2_ghist_ptr_dup(0)} exceeds redirect histPtr ${redirect_dup(0).cfiUpdate.histPtr}\n")
966  XSError(isBefore(redirect_dup(0).cfiUpdate.histPtr, s1_ghist_ptr_dup(0)) && do_redirect_dup(0).valid,
967    p"s1_ghist_ptr ${s1_ghist_ptr_dup(0)} exceeds redirect histPtr ${redirect_dup(0).cfiUpdate.histPtr}\n")
968
969  XSDebug(RegNext(reset.asBool) && !reset.asBool, "Reseting...\n")
970  XSDebug(io.ftq_to_bpu.update.valid, p"Update from ftq\n")
971  XSDebug(io.ftq_to_bpu.redirect.valid, p"Redirect from ftq\n")
972
973  XSDebug("[BP0]                 fire=%d                      pc=%x\n", s0_fire_dup(0), s0_pc_dup(0))
974  XSDebug("[BP1] v=%d r=%d cr=%d fire=%d             flush=%d pc=%x\n",
975    s1_valid_dup(0), s1_ready_dup(0), s1_components_ready_dup(0), s1_fire_dup(0), s1_flush_dup(0), s1_pc)
976  XSDebug("[BP2] v=%d r=%d cr=%d fire=%d redirect=%d flush=%d pc=%x\n",
977    s2_valid_dup(0), s2_ready_dup(0), s2_components_ready_dup(0), s2_fire_dup(0), s2_redirect_dup(0), s2_flush_dup(0), s2_pc)
978  XSDebug("[BP3] v=%d r=%d cr=%d fire=%d redirect=%d flush=%d pc=%x\n",
979    s3_valid_dup(0), s3_ready_dup(0), s3_components_ready_dup(0), s3_fire_dup(0), s3_redirect_dup(0), s3_flush_dup(0), s3_pc)
980  XSDebug("[FTQ] ready=%d\n", io.bpu_to_ftq.resp.ready)
981  XSDebug("resp.s1.target=%x\n", resp.s1.getTarget(0))
982  XSDebug("resp.s2.target=%x\n", resp.s2.getTarget(0))
983  // XSDebug("s0_ghist: %b\n", s0_ghist.predHist)
984  // XSDebug("s1_ghist: %b\n", s1_ghist.predHist)
985  // XSDebug("s2_ghist: %b\n", s2_ghist.predHist)
986  // XSDebug("s2_predicted_ghist: %b\n", s2_predicted_ghist.predHist)
987  XSDebug(p"s0_ghist_ptr: ${s0_ghist_ptr_dup(0)}\n")
988  XSDebug(p"s1_ghist_ptr: ${s1_ghist_ptr_dup(0)}\n")
989  XSDebug(p"s2_ghist_ptr: ${s2_ghist_ptr_dup(0)}\n")
990  XSDebug(p"s3_ghist_ptr: ${s3_ghist_ptr_dup(0)}\n")
991
992  io.ftq_to_bpu.update.bits.display(io.ftq_to_bpu.update.valid)
993  io.ftq_to_bpu.redirect.bits.display(io.ftq_to_bpu.redirect.valid)
994
995
996  XSPerfAccumulate("s2_redirect", s2_redirect_dup(0))
997  XSPerfAccumulate("s3_redirect", s3_redirect_dup(0))
998  XSPerfAccumulate("s1_not_valid", !s1_valid_dup(0))
999
1000  val perfEvents = predictors.asInstanceOf[Composer].getPerfEvents
1001  generatePerfEvent()
1002}
1003