xref: /XiangShan/src/main/scala/xiangshan/frontend/BPU.scala (revision 57bb43b5f11c3f1e89ac52f232fe73056b35d9bd)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.frontend
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.experimental.chiselName
22import chisel3.util._
23import xiangshan._
24import utils._
25
26import scala.math.min
27
28trait HasBPUConst extends HasXSParameter {
29  val MaxMetaLength = 512 // TODO: Reduce meta length
30  val MaxBasicBlockSize = 32
31  val LHistoryLength = 32
32  // val numBr = 2
33  val useBPD = true
34  val useLHist = true
35  val numBrSlot = numBr-1
36  val totalSlot = numBrSlot + 1
37
38  def BP_STAGES = (0 until 3).map(_.U(2.W))
39  def BP_S1 = BP_STAGES(0)
40  def BP_S2 = BP_STAGES(1)
41  def BP_S3 = BP_STAGES(2)
42  val numBpStages = BP_STAGES.length
43
44  val debug = true
45  val resetVector = 0x10000000L
46  // TODO: Replace log2Up by log2Ceil
47}
48
49trait HasBPUParameter extends HasXSParameter with HasBPUConst {
50  val BPUDebug = true && !env.FPGAPlatform && env.EnablePerfDebug
51  val EnableCFICommitLog = true
52  val EnbaleCFIPredLog = true
53  val EnableBPUTimeRecord = (EnableCFICommitLog || EnbaleCFIPredLog) && !env.FPGAPlatform
54  val EnableCommit = false
55}
56
57class BPUCtrl(implicit p: Parameters) extends XSBundle {
58  val ubtb_enable = Bool()
59  val btb_enable  = Bool()
60  val bim_enable  = Bool()
61  val tage_enable = Bool()
62  val sc_enable   = Bool()
63  val ras_enable  = Bool()
64  val loop_enable = Bool()
65}
66
67trait BPUUtils extends HasXSParameter {
68  // circular shifting
69  def circularShiftLeft(source: UInt, len: Int, shamt: UInt): UInt = {
70    val res = Wire(UInt(len.W))
71    val higher = source << shamt
72    val lower = source >> (len.U - shamt)
73    res := higher | lower
74    res
75  }
76
77  def circularShiftRight(source: UInt, len: Int, shamt: UInt): UInt = {
78    val res = Wire(UInt(len.W))
79    val higher = source << (len.U - shamt)
80    val lower = source >> shamt
81    res := higher | lower
82    res
83  }
84
85  // To be verified
86  def satUpdate(old: UInt, len: Int, taken: Bool): UInt = {
87    val oldSatTaken = old === ((1 << len)-1).U
88    val oldSatNotTaken = old === 0.U
89    Mux(oldSatTaken && taken, ((1 << len)-1).U,
90      Mux(oldSatNotTaken && !taken, 0.U,
91        Mux(taken, old + 1.U, old - 1.U)))
92  }
93
94  def signedSatUpdate(old: SInt, len: Int, taken: Bool): SInt = {
95    val oldSatTaken = old === ((1 << (len-1))-1).S
96    val oldSatNotTaken = old === (-(1 << (len-1))).S
97    Mux(oldSatTaken && taken, ((1 << (len-1))-1).S,
98      Mux(oldSatNotTaken && !taken, (-(1 << (len-1))).S,
99        Mux(taken, old + 1.S, old - 1.S)))
100  }
101
102  def getFallThroughAddr(start: UInt, carry: Bool, pft: UInt) = {
103    val higher = start.head(VAddrBits-log2Ceil(PredictWidth)-instOffsetBits)
104    Cat(Mux(carry, higher+1.U, higher), pft, 0.U(instOffsetBits.W))
105  }
106
107  def foldTag(tag: UInt, l: Int): UInt = {
108    val nChunks = (tag.getWidth + l - 1) / l
109    val chunks = (0 until nChunks).map { i =>
110      tag(min((i+1)*l, tag.getWidth)-1, i*l)
111    }
112    ParallelXOR(chunks)
113  }
114}
115
116// class BranchPredictionUpdate(implicit p: Parameters) extends XSBundle with HasBPUConst {
117//   val pc = UInt(VAddrBits.W)
118//   val br_offset = Vec(num_br, UInt(log2Up(MaxBasicBlockSize).W))
119//   val br_mask = Vec(MaxBasicBlockSize, Bool())
120//
121//   val jmp_valid = Bool()
122//   val jmp_type = UInt(3.W)
123//
124//   val is_NextMask = Vec(FetchWidth*2, Bool())
125//
126//   val cfi_idx = Valid(UInt(log2Ceil(MaxBasicBlockSize).W))
127//   val cfi_mispredict = Bool()
128//   val cfi_is_br = Bool()
129//   val cfi_is_jal = Bool()
130//   val cfi_is_jalr = Bool()
131//
132//   val ghist = new ShiftingGlobalHistory()
133//
134//   val target = UInt(VAddrBits.W)
135//
136//   val meta = UInt(MaxMetaLength.W)
137//   val spec_meta = UInt(MaxMetaLength.W)
138//
139//   def taken = cfi_idx.valid
140// }
141
142
143class BasePredictorInput (implicit p: Parameters) extends XSBundle with HasBPUConst {
144  def nInputs = 1
145
146  val s0_pc = UInt(VAddrBits.W)
147
148  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
149  val ghist = UInt(HistoryLength.W)
150
151  val resp_in = Vec(nInputs, new BranchPredictionResp)
152
153  // val final_preds = Vec(numBpStages, new)
154  // val toFtq_fire = Bool()
155
156  // val s0_all_ready = Bool()
157}
158
159class BasePredictorOutput (implicit p: Parameters) extends XSBundle with HasBPUConst {
160  val last_stage_meta = UInt(MaxMetaLength.W) // This is use by composer
161  val resp = new BranchPredictionResp
162
163  // These store in meta, extract in composer
164  // val rasSp = UInt(log2Ceil(RasSize).W)
165  // val rasTop = new RASEntry
166  // val specCnt = Vec(PredictWidth, UInt(10.W))
167}
168
169class BasePredictorIO (implicit p: Parameters) extends XSBundle with HasBPUConst {
170  val in  = Flipped(DecoupledIO(new BasePredictorInput)) // TODO: Remove DecoupledIO
171  // val out = DecoupledIO(new BasePredictorOutput)
172  val out = Output(new BasePredictorOutput)
173  // val flush_out = Valid(UInt(VAddrBits.W))
174
175  val ctrl = Input(new BPUCtrl)
176
177  val s0_fire = Input(Bool())
178  val s1_fire = Input(Bool())
179  val s2_fire = Input(Bool())
180  val s3_fire = Input(Bool())
181
182  val s2_redirect = Input(Bool())
183  val s3_redirect = Input(Bool())
184
185  val s1_ready = Output(Bool())
186  val s2_ready = Output(Bool())
187  val s3_ready = Output(Bool())
188
189  val update = Flipped(Valid(new BranchPredictionUpdate))
190  val redirect = Flipped(Valid(new BranchPredictionRedirect))
191}
192
193abstract class BasePredictor(implicit p: Parameters) extends XSModule
194  with HasBPUConst with BPUUtils with HasPerfEvents {
195  val meta_size = 0
196  val spec_meta_size = 0
197  val io = IO(new BasePredictorIO())
198
199  io.out.resp := io.in.bits.resp_in(0)
200
201  io.out.last_stage_meta := 0.U
202
203  io.in.ready := !io.redirect.valid
204
205  io.s1_ready := true.B
206  io.s2_ready := true.B
207  io.s3_ready := true.B
208
209  val s0_pc       = WireInit(io.in.bits.s0_pc) // fetchIdx(io.f0_pc)
210  val s1_pc       = RegEnable(s0_pc, resetVector.U, io.s0_fire)
211  val s2_pc       = RegEnable(s1_pc, io.s1_fire)
212  val s3_pc       = RegEnable(s2_pc, io.s2_fire)
213
214  io.out.resp.s1.pc := s1_pc
215  io.out.resp.s2.pc := s2_pc
216  io.out.resp.s3.pc := s3_pc
217
218  val perfEvents: Seq[(String, UInt)] = Seq()
219
220
221  def getFoldedHistoryInfo: Option[Set[FoldedHistoryInfo]] = None
222}
223
224class FakePredictor(implicit p: Parameters) extends BasePredictor {
225  io.in.ready                 := true.B
226  io.out.last_stage_meta      := 0.U
227  io.out.resp := io.in.bits.resp_in(0)
228}
229
230class BpuToFtqIO(implicit p: Parameters) extends XSBundle {
231  val resp = DecoupledIO(new BpuToFtqBundle())
232}
233
234class PredictorIO(implicit p: Parameters) extends XSBundle {
235  val bpu_to_ftq = new BpuToFtqIO()
236  val ftq_to_bpu = Flipped(new FtqToBpuIO())
237  val ctrl = Input(new BPUCtrl)
238}
239
240@chiselName
241class Predictor(implicit p: Parameters) extends XSModule with HasBPUConst with HasPerfEvents with HasCircularQueuePtrHelper {
242  val io = IO(new PredictorIO)
243
244  val ctrl = DelayN(io.ctrl, 1)
245  val predictors = Module(if (useBPD) new Composer else new FakePredictor)
246
247  // ctrl signal
248  predictors.io.ctrl := ctrl
249
250  val s0_fire, s1_fire, s2_fire, s3_fire = Wire(Bool())
251  val s1_valid, s2_valid, s3_valid = RegInit(false.B)
252  val s1_ready, s2_ready, s3_ready = Wire(Bool())
253  val s1_components_ready, s2_components_ready, s3_components_ready = Wire(Bool())
254
255  val s0_pc = WireInit(resetVector.U)
256  val s0_pc_reg = RegNext(s0_pc, init=resetVector.U)
257  val s1_pc = RegEnable(s0_pc, s0_fire)
258  val s2_pc = RegEnable(s1_pc, s1_fire)
259  val s3_pc = RegEnable(s2_pc, s2_fire)
260
261  val s0_folded_gh = Wire(new AllFoldedHistories(foldedGHistInfos))
262  val s0_folded_gh_reg = RegNext(s0_folded_gh, init=0.U.asTypeOf(s0_folded_gh))
263  val s1_folded_gh = RegEnable(s0_folded_gh, 0.U.asTypeOf(s0_folded_gh), s0_fire)
264  val s2_folded_gh = RegEnable(s1_folded_gh, 0.U.asTypeOf(s0_folded_gh), s1_fire)
265  val s3_folded_gh = RegEnable(s2_folded_gh, 0.U.asTypeOf(s0_folded_gh), s2_fire)
266
267  val s0_last_br_num_oh = Wire(UInt((numBr+1).W))
268  val s0_last_br_num_oh_reg = RegNext(s0_last_br_num_oh, init=0.U)
269  val s1_last_br_num_oh = RegEnable(s0_last_br_num_oh, 0.U, s0_fire)
270  val s2_last_br_num_oh = RegEnable(s1_last_br_num_oh, 0.U, s1_fire)
271  val s3_last_br_num_oh = RegEnable(s2_last_br_num_oh, 0.U, s2_fire)
272
273  val s0_ahead_fh_oldest_bits = Wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos))
274  val s0_ahead_fh_oldest_bits_reg = RegNext(s0_ahead_fh_oldest_bits, init=0.U.asTypeOf(s0_ahead_fh_oldest_bits))
275  val s1_ahead_fh_oldest_bits = RegEnable(s0_ahead_fh_oldest_bits, 0.U.asTypeOf(s0_ahead_fh_oldest_bits), s0_fire)
276  val s2_ahead_fh_oldest_bits = RegEnable(s1_ahead_fh_oldest_bits, 0.U.asTypeOf(s0_ahead_fh_oldest_bits), s1_fire)
277  val s3_ahead_fh_oldest_bits = RegEnable(s2_ahead_fh_oldest_bits, 0.U.asTypeOf(s0_ahead_fh_oldest_bits), s2_fire)
278
279  val npcGen   = new PhyPriorityMuxGenerator[UInt]
280  val foldedGhGen = new PhyPriorityMuxGenerator[AllFoldedHistories]
281  val ghistPtrGen = new PhyPriorityMuxGenerator[CGHPtr]
282  val lastBrNumOHGen = new PhyPriorityMuxGenerator[UInt]
283  val aheadFhObGen = new PhyPriorityMuxGenerator[AllAheadFoldedHistoryOldestBits]
284
285  val ghvBitWriteGens = Seq.tabulate(HistoryLength)(n => new PhyPriorityMuxGenerator[Bool])
286  // val ghistGen = new PhyPriorityMuxGenerator[UInt]
287
288  val ghv = RegInit(0.U.asTypeOf(Vec(HistoryLength, Bool())))
289  val ghv_wire = WireInit(ghv)
290
291  val s0_ghist = WireInit(0.U.asTypeOf(UInt(HistoryLength.W)))
292
293
294  println(f"history buffer length ${HistoryLength}")
295  val ghv_write_datas = Wire(Vec(HistoryLength, Bool()))
296  val ghv_wens = Wire(Vec(HistoryLength, Bool()))
297
298  val s0_ghist_ptr = Wire(new CGHPtr)
299  val s0_ghist_ptr_reg = RegNext(s0_ghist_ptr, init=0.U.asTypeOf(new CGHPtr))
300  val s1_ghist_ptr = RegEnable(s0_ghist_ptr, 0.U.asTypeOf(new CGHPtr), s0_fire)
301  val s2_ghist_ptr = RegEnable(s1_ghist_ptr, 0.U.asTypeOf(new CGHPtr), s1_fire)
302  val s3_ghist_ptr = RegEnable(s2_ghist_ptr, 0.U.asTypeOf(new CGHPtr), s2_fire)
303
304  def getHist(ptr: CGHPtr): UInt = (Cat(ghv_wire.asUInt, ghv_wire.asUInt) >> (ptr.value+1.U))(HistoryLength-1, 0)
305  s0_ghist := getHist(s0_ghist_ptr)
306
307  val resp = predictors.io.out.resp
308
309
310  val toFtq_fire = io.bpu_to_ftq.resp.valid && io.bpu_to_ftq.resp.ready
311
312  val s1_flush, s2_flush, s3_flush = Wire(Bool())
313  val s2_redirect, s3_redirect = Wire(Bool())
314
315  // predictors.io := DontCare
316  predictors.io.in.valid := s0_fire
317  predictors.io.in.bits.s0_pc := s0_pc
318  predictors.io.in.bits.ghist := s0_ghist
319  predictors.io.in.bits.folded_hist := s0_folded_gh
320  predictors.io.in.bits.resp_in(0) := (0.U).asTypeOf(new BranchPredictionResp)
321  // predictors.io.in.bits.resp_in(0).s1.pc := s0_pc
322  // predictors.io.in.bits.toFtq_fire := toFtq_fire
323
324  // predictors.io.out.ready := io.bpu_to_ftq.resp.ready
325
326  val redirect_req = io.ftq_to_bpu.redirect
327  val do_redirect = RegNext(redirect_req, init=0.U.asTypeOf(io.ftq_to_bpu.redirect))
328
329  // Pipeline logic
330  s2_redirect := false.B
331  s3_redirect := false.B
332
333  s3_flush := redirect_req.valid // flush when redirect comes
334  s2_flush := s3_flush || s3_redirect
335  s1_flush := s2_flush || s2_redirect
336
337  s1_components_ready := predictors.io.s1_ready
338  s1_ready := s1_fire || !s1_valid
339  s0_fire := !reset.asBool && s1_components_ready && s1_ready
340  predictors.io.s0_fire := s0_fire
341
342  s2_components_ready := predictors.io.s2_ready
343  s2_ready := s2_fire || !s2_valid
344  s1_fire := s1_valid && s2_components_ready && s2_ready && io.bpu_to_ftq.resp.ready
345
346  s3_components_ready := predictors.io.s3_ready
347  s3_ready := s3_fire || !s3_valid
348  s2_fire := s2_valid && s3_components_ready && s3_ready
349
350  when (redirect_req.valid) { s1_valid := false.B }
351    .elsewhen(s0_fire)      { s1_valid := true.B  }
352    .elsewhen(s1_flush)     { s1_valid := false.B }
353    .elsewhen(s1_fire)      { s1_valid := false.B }
354
355  predictors.io.s1_fire := s1_fire
356
357  s2_fire := s2_valid
358
359  when(s2_flush)       { s2_valid := false.B }
360    .elsewhen(s1_fire) { s2_valid := !s1_flush }
361    .elsewhen(s2_fire) { s2_valid := false.B }
362
363  predictors.io.s2_fire := s2_fire
364  predictors.io.s2_redirect := s2_redirect
365
366  s3_fire := s3_valid
367
368  when(s3_flush)       { s3_valid := false.B }
369    .elsewhen(s2_fire) { s3_valid := !s2_flush }
370    .elsewhen(s3_fire) { s3_valid := false.B }
371
372  predictors.io.s3_fire := s3_fire
373  predictors.io.s3_redirect := s3_redirect
374
375
376  io.bpu_to_ftq.resp.valid :=
377    s1_valid && s2_components_ready && s2_ready ||
378    s2_fire && s2_redirect ||
379    s3_fire && s3_redirect
380  io.bpu_to_ftq.resp.bits  := BpuToFtqBundle(predictors.io.out.resp)
381  io.bpu_to_ftq.resp.bits.meta  := predictors.io.out.last_stage_meta // TODO: change to lastStageMeta
382  io.bpu_to_ftq.resp.bits.s3.folded_hist := s3_folded_gh
383  io.bpu_to_ftq.resp.bits.s3.histPtr := s3_ghist_ptr
384  io.bpu_to_ftq.resp.bits.s3.lastBrNumOH := s3_last_br_num_oh
385  io.bpu_to_ftq.resp.bits.s3.afhob := s3_ahead_fh_oldest_bits
386
387  npcGen.register(true.B, s0_pc_reg, Some("stallPC"), 0)
388  foldedGhGen.register(true.B, s0_folded_gh_reg, Some("stallFGH"), 0)
389  ghistPtrGen.register(true.B, s0_ghist_ptr_reg, Some("stallGHPtr"), 0)
390  lastBrNumOHGen.register(true.B, s0_last_br_num_oh_reg, Some("stallBrNumOH"), 0)
391  aheadFhObGen.register(true.B, s0_ahead_fh_oldest_bits_reg, Some("stallAFHOB"), 0)
392
393  // History manage
394  // s1
395  val s1_possible_predicted_ghist_ptrs = (0 to numBr).map(s1_ghist_ptr - _.U)
396  val s1_predicted_ghist_ptr = Mux1H(resp.s1.lastBrPosOH, s1_possible_predicted_ghist_ptrs)
397
398  val s1_possible_predicted_fhs = (0 to numBr).map(i =>
399    s1_folded_gh.update(s1_ahead_fh_oldest_bits, s1_last_br_num_oh, i, resp.s1.brTaken && resp.s1.lastBrPosOH(i)))
400  val s1_predicted_fh = Mux1H(resp.s1.lastBrPosOH, s1_possible_predicted_fhs)
401
402  val s1_ahead_fh_ob_src = Wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos))
403  s1_ahead_fh_ob_src.read(ghv, s1_ghist_ptr)
404
405  if (EnableGHistDiff) {
406    val s1_predicted_ghist = WireInit(getHist(s1_predicted_ghist_ptr).asTypeOf(Vec(HistoryLength, Bool())))
407    for (i <- 0 until numBr) {
408      when (resp.s1.shouldShiftVec(i)) {
409        s1_predicted_ghist(i) := resp.s1.brTaken && (i==0).B
410      }
411    }
412    when (s1_valid) {
413      s0_ghist := s1_predicted_ghist.asUInt
414    }
415  }
416
417  val s1_ghv_wens = (0 until HistoryLength).map(n =>
418    (0 until numBr).map(b => (s1_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s1.shouldShiftVec(b) && s1_valid))
419  val s1_ghv_wdatas = (0 until HistoryLength).map(n =>
420    Mux1H(
421      (0 until numBr).map(b => (
422        (s1_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s1.shouldShiftVec(b),
423        resp.s1.brTaken && resp.s1.lastBrPosOH(b+1)
424      ))
425    )
426  )
427
428  XSError(!resp.s1.is_minimal, "s1 should be minimal!\n")
429
430  npcGen.register(s1_valid, resp.s1.getTarget, Some("s1_target"), 4)
431  foldedGhGen.register(s1_valid, s1_predicted_fh, Some("s1_FGH"), 4)
432  ghistPtrGen.register(s1_valid, s1_predicted_ghist_ptr, Some("s1_GHPtr"), 4)
433  lastBrNumOHGen.register(s1_valid, resp.s1.lastBrPosOH.asUInt, Some("s1_BrNumOH"), 4)
434  aheadFhObGen.register(s1_valid, s1_ahead_fh_ob_src, Some("s1_AFHOB"), 4)
435  ghvBitWriteGens.zip(s1_ghv_wens).zipWithIndex.map{case ((b, w), i) =>
436    b.register(w.reduce(_||_), s1_ghv_wdatas(i), Some(s"s1_new_bit_$i"), 4)
437  }
438
439  def preds_needs_redirect_vec(x: BranchPredictionBundle, y: BranchPredictionBundle) = {
440    VecInit(
441      x.getTarget =/= y.getTarget,
442      x.lastBrPosOH.asUInt =/= y.lastBrPosOH.asUInt,
443      x.taken =/= y.taken,
444      (x.taken && y.taken) && x.cfiIndex.bits =/= y.cfiIndex.bits,
445      // x.shouldShiftVec.asUInt =/= y.shouldShiftVec.asUInt,
446      // x.brTaken =/= y.brTaken
447    )
448  }
449
450  // s2
451  val s2_possible_predicted_ghist_ptrs = (0 to numBr).map(s2_ghist_ptr - _.U)
452  val s2_predicted_ghist_ptr = Mux1H(resp.s2.lastBrPosOH, s2_possible_predicted_ghist_ptrs)
453
454  val s2_possible_predicted_fhs = (0 to numBr).map(i =>
455    s2_folded_gh.update(s2_ahead_fh_oldest_bits, s2_last_br_num_oh, i, if (i > 0) resp.s2.full_pred.br_taken_mask(i-1) else false.B))
456  val s2_predicted_fh = Mux1H(resp.s2.lastBrPosOH, s2_possible_predicted_fhs)
457
458  val s2_ahead_fh_ob_src = Wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos))
459  s2_ahead_fh_ob_src.read(ghv, s2_ghist_ptr)
460
461  if (EnableGHistDiff) {
462    val s2_predicted_ghist = WireInit(getHist(s2_predicted_ghist_ptr).asTypeOf(Vec(HistoryLength, Bool())))
463    for (i <- 0 until numBr) {
464      when (resp.s2.shouldShiftVec(i)) {
465        s2_predicted_ghist(i) := resp.s2.brTaken && (i==0).B
466      }
467    }
468    when(s2_redirect) {
469      s0_ghist := s2_predicted_ghist.asUInt
470    }
471  }
472
473  val s2_ghv_wens = (0 until HistoryLength).map(n =>
474    (0 until numBr).map(b => (s2_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s2.shouldShiftVec(b) && s2_redirect))
475  val s2_ghv_wdatas = (0 until HistoryLength).map(n =>
476    Mux1H(
477      (0 until numBr).map(b => (
478        (s2_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s2.shouldShiftVec(b),
479        resp.s2.full_pred.real_br_taken_mask()(b)
480      ))
481    )
482  )
483
484  val previous_s1_pred = RegEnable(resp.s1, init=0.U.asTypeOf(resp.s1), s1_fire)
485
486  val s2_redirect_s1_last_pred_vec = preds_needs_redirect_vec(previous_s1_pred, resp.s2)
487
488  s2_redirect := s2_fire && s2_redirect_s1_last_pred_vec.reduce(_||_)
489
490  XSError(resp.s2.is_minimal, "s2 should not be minimal!\n")
491
492  npcGen.register(s2_redirect, resp.s2.getTarget, Some("s2_target"), 5)
493  foldedGhGen.register(s2_redirect, s2_predicted_fh, Some("s2_FGH"), 5)
494  ghistPtrGen.register(s2_redirect, s2_predicted_ghist_ptr, Some("s2_GHPtr"), 5)
495  lastBrNumOHGen.register(s2_redirect, resp.s2.lastBrPosOH.asUInt, Some("s2_BrNumOH"), 5)
496  aheadFhObGen.register(s2_redirect, s2_ahead_fh_ob_src, Some("s2_AFHOB"), 5)
497  ghvBitWriteGens.zip(s2_ghv_wens).zipWithIndex.map{case ((b, w), i) =>
498    b.register(w.reduce(_||_), s2_ghv_wdatas(i), Some(s"s2_new_bit_$i"), 5)
499  }
500
501  XSPerfAccumulate("s2_redirect_because_target_diff", s2_fire && s2_redirect_s1_last_pred_vec(0))
502  XSPerfAccumulate("s2_redirect_because_branch_num_diff", s2_fire && s2_redirect_s1_last_pred_vec(1))
503  XSPerfAccumulate("s2_redirect_because_direction_diff", s2_fire && s2_redirect_s1_last_pred_vec(2))
504  XSPerfAccumulate("s2_redirect_because_cfi_idx_diff", s2_fire && s2_redirect_s1_last_pred_vec(3))
505  // XSPerfAccumulate("s2_redirect_because_shouldShiftVec_diff", s2_fire && s2_redirect_s1_last_pred_vec(4))
506  // XSPerfAccumulate("s2_redirect_because_brTaken_diff", s2_fire && s2_redirect_s1_last_pred_vec(5))
507  XSPerfAccumulate("s2_redirect_because_fallThroughError", s2_fire && resp.s2.fallThruError)
508
509  XSPerfAccumulate("s2_redirect_when_taken", s2_redirect && resp.s2.taken && resp.s2.full_pred.hit)
510  XSPerfAccumulate("s2_redirect_when_not_taken", s2_redirect && !resp.s2.taken && resp.s2.full_pred.hit)
511  XSPerfAccumulate("s2_redirect_when_not_hit", s2_redirect && !resp.s2.full_pred.hit)
512
513
514  // s3
515  val s3_possible_predicted_ghist_ptrs = (0 to numBr).map(s3_ghist_ptr - _.U)
516  val s3_predicted_ghist_ptr = Mux1H(resp.s3.lastBrPosOH, s3_possible_predicted_ghist_ptrs)
517
518  val s3_possible_predicted_fhs = (0 to numBr).map(i =>
519    s3_folded_gh.update(s3_ahead_fh_oldest_bits, s3_last_br_num_oh, i, if (i > 0) resp.s3.full_pred.br_taken_mask(i-1) else false.B))
520  val s3_predicted_fh = Mux1H(resp.s3.lastBrPosOH, s3_possible_predicted_fhs)
521
522  val s3_ahead_fh_ob_src = Wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos))
523  s3_ahead_fh_ob_src.read(ghv, s3_ghist_ptr)
524
525  if (EnableGHistDiff) {
526    val s3_predicted_ghist = WireInit(getHist(s3_predicted_ghist_ptr).asTypeOf(Vec(HistoryLength, Bool())))
527    for (i <- 0 until numBr) {
528      when (resp.s3.shouldShiftVec(i)) {
529        s3_predicted_ghist(i) := resp.s3.brTaken && (i==0).B
530      }
531    }
532    when(s3_redirect) {
533      s0_ghist := s3_predicted_ghist.asUInt
534    }
535  }
536
537  val s3_ghv_wens = (0 until HistoryLength).map(n =>
538    (0 until numBr).map(b => (s3_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s3.shouldShiftVec(b) && s3_redirect))
539  val s3_ghv_wdatas = (0 until HistoryLength).map(n =>
540    Mux1H(
541      (0 until numBr).map(b => (
542        (s3_ghist_ptr).value === (CGHPtr(false.B, n.U) + b.U).value && resp.s3.shouldShiftVec(b),
543        resp.s3.full_pred.real_br_taken_mask()(b)
544      ))
545    )
546  )
547
548  val previous_s2_pred = RegEnable(resp.s2, init=0.U.asTypeOf(resp.s2), s2_fire)
549
550  val s3_redirect_on_br_taken = resp.s3.full_pred.real_br_taken_mask().asUInt =/= previous_s2_pred.full_pred.real_br_taken_mask().asUInt
551  val s3_redirect_on_target = resp.s3.getTarget =/= previous_s2_pred.getTarget
552  val s3_redirect_on_jalr_target = resp.s3.full_pred.hit_taken_on_jalr && resp.s3.full_pred.jalr_target =/= previous_s2_pred.full_pred.jalr_target
553  val s3_redirect_on_fall_thru_error = resp.s3.fallThruError
554
555  s3_redirect := s3_fire && (
556    s3_redirect_on_br_taken || s3_redirect_on_target || s3_redirect_on_fall_thru_error
557  )
558
559  XSPerfAccumulate(f"s3_redirect_on_br_taken", s3_fire && s3_redirect_on_br_taken)
560  XSPerfAccumulate(f"s3_redirect_on_jalr_target", s3_fire && s3_redirect_on_jalr_target)
561  XSPerfAccumulate(f"s3_redirect_on_others", s3_redirect && !(s3_redirect_on_br_taken || s3_redirect_on_jalr_target))
562
563  npcGen.register(s3_redirect, resp.s3.getTarget, Some("s3_target"), 3)
564  foldedGhGen.register(s3_redirect, s3_predicted_fh, Some("s3_FGH"), 3)
565  ghistPtrGen.register(s3_redirect, s3_predicted_ghist_ptr, Some("s3_GHPtr"), 3)
566  lastBrNumOHGen.register(s3_redirect, resp.s3.lastBrPosOH.asUInt, Some("s3_BrNumOH"), 3)
567  aheadFhObGen.register(s3_redirect, s3_ahead_fh_ob_src, Some("s3_AFHOB"), 3)
568  ghvBitWriteGens.zip(s3_ghv_wens).zipWithIndex.map{case ((b, w), i) =>
569    b.register(w.reduce(_||_), s3_ghv_wdatas(i), Some(s"s3_new_bit_$i"), 3)
570  }
571
572  // Send signal tell Ftq override
573  val s2_ftq_idx = RegEnable(io.ftq_to_bpu.enq_ptr, s1_fire)
574  val s3_ftq_idx = RegEnable(s2_ftq_idx, s2_fire)
575
576  io.bpu_to_ftq.resp.bits.s1.valid := s1_fire && !s1_flush
577  io.bpu_to_ftq.resp.bits.s1.hasRedirect := false.B
578  io.bpu_to_ftq.resp.bits.s1.ftq_idx := DontCare
579  io.bpu_to_ftq.resp.bits.s2.valid := s2_fire && !s2_flush
580  io.bpu_to_ftq.resp.bits.s2.hasRedirect := s2_redirect
581  io.bpu_to_ftq.resp.bits.s2.ftq_idx := s2_ftq_idx
582  io.bpu_to_ftq.resp.bits.s3.valid := s3_fire && !s3_flush
583  io.bpu_to_ftq.resp.bits.s3.hasRedirect := s3_redirect
584  io.bpu_to_ftq.resp.bits.s3.ftq_idx := s3_ftq_idx
585
586  val redirect = do_redirect.bits
587
588  predictors.io.update := io.ftq_to_bpu.update
589  predictors.io.update.bits.ghist := getHist(io.ftq_to_bpu.update.bits.histPtr)
590  predictors.io.redirect := do_redirect
591
592  // Redirect logic
593  val shift = redirect.cfiUpdate.shift
594  val addIntoHist = redirect.cfiUpdate.addIntoHist
595  // TODO: remove these below
596  val shouldShiftVec = Mux(shift === 0.U, VecInit(0.U((1 << (log2Ceil(numBr) + 1)).W).asBools), VecInit((LowerMask(1.U << (shift-1.U))).asBools()))
597  // TODO end
598  val afhob = redirect.cfiUpdate.afhob
599  val lastBrNumOH = redirect.cfiUpdate.lastBrNumOH
600
601
602  val isBr = redirect.cfiUpdate.pd.isBr
603  val taken = redirect.cfiUpdate.taken
604  val real_br_taken_mask = (0 until numBr).map(i => shift === (i+1).U && taken && addIntoHist )
605
606  val oldPtr = redirect.cfiUpdate.histPtr
607  val oldFh = redirect.cfiUpdate.folded_hist
608  val updated_ptr = oldPtr - shift
609  val updated_fh = VecInit((0 to numBr).map(i => oldFh.update(afhob, lastBrNumOH, i, taken && addIntoHist)))(shift)
610  val thisBrNumOH = UIntToOH(shift, numBr+1)
611  val thisAheadFhOb = Wire(new AllAheadFoldedHistoryOldestBits(foldedGHistInfos))
612  thisAheadFhOb.read(ghv, oldPtr)
613  val redirect_ghv_wens = (0 until HistoryLength).map(n =>
614    (0 until numBr).map(b => oldPtr.value === (CGHPtr(false.B, n.U) + b.U).value && shouldShiftVec(b) && do_redirect.valid))
615  val redirect_ghv_wdatas = (0 until HistoryLength).map(n =>
616    Mux1H(
617      (0 until numBr).map(b => oldPtr.value === (CGHPtr(false.B, n.U) + b.U).value && shouldShiftVec(b)),
618      real_br_taken_mask
619    )
620  )
621
622  if (EnableGHistDiff) {
623    val updated_ghist = WireInit(getHist(updated_ptr).asTypeOf(Vec(HistoryLength, Bool())))
624    for (i <- 0 until numBr) {
625      when (shift >= (i+1).U) {
626        updated_ghist(i) := taken && addIntoHist && (i==0).B
627      }
628    }
629    when(do_redirect.valid) {
630      s0_ghist := updated_ghist.asUInt
631    }
632  }
633
634
635  // val updatedGh = oldGh.update(shift, taken && addIntoHist)
636
637  npcGen.register(do_redirect.valid, do_redirect.bits.cfiUpdate.target, Some("redirect_target"), 2)
638  foldedGhGen.register(do_redirect.valid, updated_fh, Some("redirect_FGHT"), 2)
639  ghistPtrGen.register(do_redirect.valid, updated_ptr, Some("redirect_GHPtr"), 2)
640  lastBrNumOHGen.register(do_redirect.valid, thisBrNumOH, Some("redirect_BrNumOH"), 2)
641  aheadFhObGen.register(do_redirect.valid, thisAheadFhOb, Some("redirect_AFHOB"), 2)
642  ghvBitWriteGens.zip(redirect_ghv_wens).zipWithIndex.map{case ((b, w), i) =>
643    b.register(w.reduce(_||_), redirect_ghv_wdatas(i), Some(s"redirect_new_bit_$i"), 2)
644  }
645  // no need to assign s0_last_pred
646
647  // val need_reset = RegNext(reset.asBool) && !reset.asBool
648
649  // Reset
650  // npcGen.register(need_reset, resetVector.U, Some("reset_pc"), 1)
651  // foldedGhGen.register(need_reset, 0.U.asTypeOf(s0_folded_gh), Some("reset_FGH"), 1)
652  // ghistPtrGen.register(need_reset, 0.U.asTypeOf(new CGHPtr), Some("reset_GHPtr"), 1)
653
654  s0_pc         := npcGen()
655  s0_pc_reg     := s0_pc
656  s0_folded_gh  := foldedGhGen()
657  s0_ghist_ptr  := ghistPtrGen()
658  s0_ahead_fh_oldest_bits := aheadFhObGen()
659  s0_last_br_num_oh := lastBrNumOHGen()
660  (ghv_write_datas zip ghvBitWriteGens).map{case (wd, d) => wd := d()}
661  for (i <- 0 until HistoryLength) {
662    ghv_wens(i) := Seq(s1_ghv_wens, s2_ghv_wens, s3_ghv_wens, redirect_ghv_wens).map(_(i).reduce(_||_)).reduce(_||_)
663    when (ghv_wens(i)) {
664      ghv(i) := ghv_write_datas(i)
665    }
666  }
667
668  XSError(isBefore(redirect.cfiUpdate.histPtr, s3_ghist_ptr) && do_redirect.valid, p"s3_ghist_ptr ${s3_ghist_ptr} exceeds redirect histPtr ${redirect.cfiUpdate.histPtr}\n")
669  XSError(isBefore(redirect.cfiUpdate.histPtr, s2_ghist_ptr) && do_redirect.valid, p"s2_ghist_ptr ${s2_ghist_ptr} exceeds redirect histPtr ${redirect.cfiUpdate.histPtr}\n")
670  XSError(isBefore(redirect.cfiUpdate.histPtr, s1_ghist_ptr) && do_redirect.valid, p"s1_ghist_ptr ${s1_ghist_ptr} exceeds redirect histPtr ${redirect.cfiUpdate.histPtr}\n")
671
672  XSDebug(RegNext(reset.asBool) && !reset.asBool, "Reseting...\n")
673  XSDebug(io.ftq_to_bpu.update.valid, p"Update from ftq\n")
674  XSDebug(io.ftq_to_bpu.redirect.valid, p"Redirect from ftq\n")
675
676  XSDebug("[BP0]                 fire=%d                      pc=%x\n", s0_fire, s0_pc)
677  XSDebug("[BP1] v=%d r=%d cr=%d fire=%d             flush=%d pc=%x\n",
678    s1_valid, s1_ready, s1_components_ready, s1_fire, s1_flush, s1_pc)
679  XSDebug("[BP2] v=%d r=%d cr=%d fire=%d redirect=%d flush=%d pc=%x\n",
680  s2_valid, s2_ready, s2_components_ready, s2_fire, s2_redirect, s2_flush, s2_pc)
681  XSDebug("[BP3] v=%d r=%d cr=%d fire=%d redirect=%d flush=%d pc=%x\n",
682  s3_valid, s3_ready, s3_components_ready, s3_fire, s3_redirect, s3_flush, s3_pc)
683  XSDebug("[FTQ] ready=%d\n", io.bpu_to_ftq.resp.ready)
684  XSDebug("resp.s1.target=%x\n", resp.s1.getTarget)
685  XSDebug("resp.s2.target=%x\n", resp.s2.getTarget)
686  // XSDebug("s0_ghist: %b\n", s0_ghist.predHist)
687  // XSDebug("s1_ghist: %b\n", s1_ghist.predHist)
688  // XSDebug("s2_ghist: %b\n", s2_ghist.predHist)
689  // XSDebug("s2_predicted_ghist: %b\n", s2_predicted_ghist.predHist)
690  XSDebug(p"s0_ghist_ptr: $s0_ghist_ptr\n")
691  XSDebug(p"s1_ghist_ptr: $s1_ghist_ptr\n")
692  XSDebug(p"s2_ghist_ptr: $s2_ghist_ptr\n")
693  XSDebug(p"s3_ghist_ptr: $s3_ghist_ptr\n")
694
695  io.ftq_to_bpu.update.bits.display(io.ftq_to_bpu.update.valid)
696  io.ftq_to_bpu.redirect.bits.display(io.ftq_to_bpu.redirect.valid)
697
698
699  XSPerfAccumulate("s2_redirect", s2_redirect)
700  XSPerfAccumulate("s3_redirect", s3_redirect)
701  XSPerfAccumulate("s1_not_valid", !s1_valid)
702
703  val perfEvents = predictors.asInstanceOf[Composer].getPerfEvents
704  generatePerfEvent()
705}
706