16d5ddbceSLemover/*************************************************************************************** 26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 46d5ddbceSLemover* 56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2. 66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at: 86d5ddbceSLemover* http://license.coscl.org.cn/MulanPSL2 96d5ddbceSLemover* 106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 136d5ddbceSLemover* 146d5ddbceSLemover* See the Mulan PSL v2 for more details. 156d5ddbceSLemover***************************************************************************************/ 166d5ddbceSLemover 176d5ddbceSLemoverpackage xiangshan.cache.mmu 186d5ddbceSLemover 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 206d5ddbceSLemoverimport chisel3._ 216d5ddbceSLemoverimport chisel3.util._ 226d5ddbceSLemoverimport xiangshan._ 236d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 246d5ddbceSLemoverimport utils._ 253c02ee8fSwakafaimport utility._ 266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 276d5ddbceSLemoverimport freechips.rocketchip.tilelink._ 286d5ddbceSLemover 2945f497a4Shappy-lxclass PTWReapterIO(Width: Int)(implicit p: Parameters) extends MMUIOBaseBundle { 306d5ddbceSLemover val tlb = Flipped(new TlbPtwIO(Width)) 316d5ddbceSLemover val ptw = new TlbPtwIO 3245f497a4Shappy-lx 3335d6335eSZhangZifei def apply(tlb: TlbPtwIO, ptw: TlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 3435d6335eSZhangZifei this.tlb <> tlb 3535d6335eSZhangZifei this.ptw <> ptw 3635d6335eSZhangZifei this.sfence <> sfence 3735d6335eSZhangZifei this.csr <> csr 3835d6335eSZhangZifei } 3935d6335eSZhangZifei 4035d6335eSZhangZifei def apply(tlb: TlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 4135d6335eSZhangZifei this.tlb <> tlb 4235d6335eSZhangZifei this.sfence <> sfence 4335d6335eSZhangZifei this.csr <> csr 4435d6335eSZhangZifei } 4535d6335eSZhangZifei 4645f497a4Shappy-lx} 4745f497a4Shappy-lx 48f1fe8698SLemoverclass PTWRepeater(Width: Int = 1, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 4945f497a4Shappy-lx val io = IO(new PTWReapterIO(Width)) 5045f497a4Shappy-lx 516d5ddbceSLemover val req_in = if (Width == 1) { 526d5ddbceSLemover io.tlb.req(0) 536d5ddbceSLemover } else { 546d5ddbceSLemover val arb = Module(new RRArbiter(io.tlb.req(0).bits.cloneType, Width)) 556d5ddbceSLemover arb.io.in <> io.tlb.req 566d5ddbceSLemover arb.io.out 576d5ddbceSLemover } 58*d0de7e4aSpeixiaokun val (tlb, ptw, flush) = (io.tlb, io.ptw, DelayN(io.sfence.valid || io.csr.satp.changed || io.csr.vsatp.changed || io.csr.hgatp.changed, FenceDelay)) 59935edac4STang Haojin val req = RegEnable(req_in.bits, req_in.fire) 60935edac4STang Haojin val resp = RegEnable(ptw.resp.bits, ptw.resp.fire) 61935edac4STang Haojin val haveOne = BoolStopWatch(req_in.fire, tlb.resp.fire || flush) 62935edac4STang Haojin val sent = BoolStopWatch(ptw.req(0).fire, req_in.fire || flush) 63935edac4STang Haojin val recv = BoolStopWatch(ptw.resp.fire && haveOne, req_in.fire || flush) 646d5ddbceSLemover 656d5ddbceSLemover req_in.ready := !haveOne 666d5ddbceSLemover ptw.req(0).valid := haveOne && !sent 676d5ddbceSLemover ptw.req(0).bits := req 686d5ddbceSLemover 696d5ddbceSLemover tlb.resp.bits := resp 706d5ddbceSLemover tlb.resp.valid := haveOne && recv 716d5ddbceSLemover ptw.resp.ready := !recv 726d5ddbceSLemover 73935edac4STang Haojin XSPerfAccumulate("req_count", ptw.req(0).fire) 74935edac4STang Haojin XSPerfAccumulate("tlb_req_cycle", BoolStopWatch(req_in.fire, tlb.resp.fire || flush)) 75935edac4STang Haojin XSPerfAccumulate("ptw_req_cycle", BoolStopWatch(ptw.req(0).fire, ptw.resp.fire || flush)) 766d5ddbceSLemover 7745f497a4Shappy-lx XSDebug(haveOne, p"haveOne:${haveOne} sent:${sent} recv:${recv} sfence:${flush} req:${req} resp:${resp}") 786d5ddbceSLemover XSDebug(req_in.valid || io.tlb.resp.valid, p"tlb: ${tlb}\n") 796d5ddbceSLemover XSDebug(io.ptw.req(0).valid || io.ptw.resp.valid, p"ptw: ${ptw}\n") 806d5ddbceSLemover assert(!RegNext(recv && io.ptw.resp.valid, init = false.B), "re-receive ptw.resp") 81a8bd30cdSLemover XSError(io.ptw.req(0).valid && io.ptw.resp.valid && !flush, "ptw repeater recv resp when sending") 82a8bd30cdSLemover XSError(io.ptw.resp.valid && (req.vpn =/= io.ptw.resp.bits.entry.tag), "ptw repeater recv resp with wrong tag") 83a8bd30cdSLemover XSError(io.ptw.resp.valid && !io.ptw.resp.ready, "ptw repeater's ptw resp back, but not ready") 849bd9cdfaSLemover TimeOutAssert(sent && !recv, timeOutThreshold, "Repeater doesn't recv resp in time") 856d5ddbceSLemover} 866d5ddbceSLemover 876d5ddbceSLemover/* dtlb 886d5ddbceSLemover * 896d5ddbceSLemover */ 9035d6335eSZhangZifei 91f1fe8698SLemoverclass PTWRepeaterNB(Width: Int = 1, passReady: Boolean = false, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 9235d6335eSZhangZifei val io = IO(new PTWReapterIO(Width)) 9335d6335eSZhangZifei 9435d6335eSZhangZifei val req_in = if (Width == 1) { 9535d6335eSZhangZifei io.tlb.req(0) 9635d6335eSZhangZifei } else { 9735d6335eSZhangZifei val arb = Module(new RRArbiter(io.tlb.req(0).bits.cloneType, Width)) 9835d6335eSZhangZifei arb.io.in <> io.tlb.req 9935d6335eSZhangZifei arb.io.out 10035d6335eSZhangZifei } 101f1fe8698SLemover val (tlb, ptw, flush) = (io.tlb, io.ptw, DelayN(io.sfence.valid || io.csr.satp.changed, FenceDelay)) 10235d6335eSZhangZifei /* sent: tlb -> repeater -> ptw 10335d6335eSZhangZifei * recv: ptw -> repeater -> tlb 10435d6335eSZhangZifei * different from PTWRepeater 10535d6335eSZhangZifei */ 10635d6335eSZhangZifei 10735d6335eSZhangZifei // tlb -> repeater -> ptw 108935edac4STang Haojin val req = RegEnable(req_in.bits, req_in.fire) 109935edac4STang Haojin val sent = BoolStopWatch(req_in.fire, ptw.req(0).fire || flush) 11035d6335eSZhangZifei req_in.ready := !sent || { if (passReady) ptw.req(0).ready else false.B } 11135d6335eSZhangZifei ptw.req(0).valid := sent 11235d6335eSZhangZifei ptw.req(0).bits := req 11335d6335eSZhangZifei 11435d6335eSZhangZifei // ptw -> repeater -> tlb 115935edac4STang Haojin val resp = RegEnable(ptw.resp.bits, ptw.resp.fire) 116935edac4STang Haojin val recv = BoolStopWatch(ptw.resp.fire, tlb.resp.fire || flush) 11735d6335eSZhangZifei ptw.resp.ready := !recv || { if (passReady) tlb.resp.ready else false.B } 11835d6335eSZhangZifei tlb.resp.valid := recv 11935d6335eSZhangZifei tlb.resp.bits := resp 12035d6335eSZhangZifei 121935edac4STang Haojin XSPerfAccumulate("req", req_in.fire) 122935edac4STang Haojin XSPerfAccumulate("resp", tlb.resp.fire) 12335d6335eSZhangZifei if (!passReady) { 12435d6335eSZhangZifei XSPerfAccumulate("req_blank", req_in.valid && sent && ptw.req(0).ready) 12535d6335eSZhangZifei XSPerfAccumulate("resp_blank", ptw.resp.valid && recv && tlb.resp.ready) 12635d6335eSZhangZifei XSPerfAccumulate("req_blank_ignore_ready", req_in.valid && sent) 12735d6335eSZhangZifei XSPerfAccumulate("resp_blank_ignore_ready", ptw.resp.valid && recv) 12835d6335eSZhangZifei } 12935d6335eSZhangZifei XSDebug(req_in.valid || io.tlb.resp.valid, p"tlb: ${tlb}\n") 13035d6335eSZhangZifei XSDebug(io.ptw.req(0).valid || io.ptw.resp.valid, p"ptw: ${ptw}\n") 13135d6335eSZhangZifei} 13235d6335eSZhangZifei 133185e6164SHaoyuan Fengclass PTWFilterIO(Width: Int, hasHint: Boolean = false)(implicit p: Parameters) extends MMUIOBaseBundle { 134f1fe8698SLemover val tlb = Flipped(new VectorTlbPtwIO(Width)) 135a0301c0dSLemover val ptw = new TlbPtwIO() 136185e6164SHaoyuan Feng val hint = if (hasHint) Some(new TlbHintIO) else None 137d2b20d1aSTang Haojin val rob_head_miss_in_tlb = Output(Bool()) 13860ebee38STang Haojin val debugTopDown = new Bundle { 13960ebee38STang Haojin val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 14060ebee38STang Haojin } 1416d5ddbceSLemover 142f1fe8698SLemover def apply(tlb: VectorTlbPtwIO, ptw: TlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 14335d6335eSZhangZifei this.tlb <> tlb 14435d6335eSZhangZifei this.ptw <> ptw 14535d6335eSZhangZifei this.sfence <> sfence 14635d6335eSZhangZifei this.csr <> csr 14735d6335eSZhangZifei } 14835d6335eSZhangZifei 149f1fe8698SLemover def apply(tlb: VectorTlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 15035d6335eSZhangZifei this.tlb <> tlb 15135d6335eSZhangZifei this.sfence <> sfence 15235d6335eSZhangZifei this.csr <> csr 15335d6335eSZhangZifei } 15435d6335eSZhangZifei 15545f497a4Shappy-lx} 15645f497a4Shappy-lx 157185e6164SHaoyuan Fengclass PTWFilterEntryIO(Width: Int, hasHint: Boolean = false)(implicit p: Parameters) extends PTWFilterIO(Width, hasHint){ 158185e6164SHaoyuan Feng val flush = Input(Bool()) 159185e6164SHaoyuan Feng val refill = Output(Bool()) 160185e6164SHaoyuan Feng val memidx = Output(new MemBlockidxBundle) 161185e6164SHaoyuan Feng} 162185e6164SHaoyuan Feng 163185e6164SHaoyuan Fengclass PTWFilterEntry(Width: Int, Size: Int, hasHint: Boolean = false)(implicit p: Parameters) extends XSModule with HasPtwConst { 164185e6164SHaoyuan Feng 165185e6164SHaoyuan Feng val io = IO(new PTWFilterEntryIO(Width, hasHint)) 166185e6164SHaoyuan Feng require(isPow2(Size), s"Filter Size ($Size) must be a power of 2") 167185e6164SHaoyuan Feng 168185e6164SHaoyuan Feng def firstValidIndex(v: Seq[Bool], valid: Bool): UInt = { 169185e6164SHaoyuan Feng val index = WireInit(0.U(log2Up(Size).W)) 170185e6164SHaoyuan Feng for (i <- 0 until v.size) { 171185e6164SHaoyuan Feng when (v(i) === valid) { 172185e6164SHaoyuan Feng index := i.U 173185e6164SHaoyuan Feng } 174185e6164SHaoyuan Feng } 175185e6164SHaoyuan Feng index 176185e6164SHaoyuan Feng } 177185e6164SHaoyuan Feng 178185e6164SHaoyuan Feng val v = RegInit(VecInit(Seq.fill(Size)(false.B))) 179185e6164SHaoyuan Feng val sent = RegInit(VecInit(Seq.fill(Size)(false.B))) 180185e6164SHaoyuan Feng val vpn = Reg(Vec(Size, UInt(vpnLen.W))) 181185e6164SHaoyuan Feng val memidx = Reg(Vec(Size, new MemBlockidxBundle)) 182185e6164SHaoyuan Feng 183185e6164SHaoyuan Feng val enqvalid = WireInit(VecInit(Seq.fill(Width)(false.B))) 184185e6164SHaoyuan Feng val canenq = WireInit(VecInit(Seq.fill(Width)(false.B))) 185185e6164SHaoyuan Feng val enqidx = WireInit(VecInit(Seq.fill(Width)(0.U(log2Up(Size).W)))) 186185e6164SHaoyuan Feng 187185e6164SHaoyuan Feng //val selectCount = RegInit(0.U(log2Up(Width).W)) 188185e6164SHaoyuan Feng 189185e6164SHaoyuan Feng val entryIsMatchVec = WireInit(VecInit(Seq.fill(Width)(false.B))) 190185e6164SHaoyuan Feng val entryMatchIndexVec = WireInit(VecInit(Seq.fill(Width)(0.U(log2Up(Size).W)))) 191185e6164SHaoyuan Feng val ptwResp_EntryMatchVec = vpn.zip(v).map{ case (pi, vi) => vi && io.ptw.resp.bits.hit(pi, io.csr.satp.asid, true, true)} 192185e6164SHaoyuan Feng val ptwResp_EntryMatchFirst = firstValidIndex(ptwResp_EntryMatchVec, true.B) 193185e6164SHaoyuan Feng val ptwResp_ReqMatchVec = io.tlb.req.map(a => io.ptw.resp.valid && io.ptw.resp.bits.hit(a.bits.vpn, 0.U, allType = true, true)) 194185e6164SHaoyuan Feng 195185e6164SHaoyuan Feng io.refill := Cat(ptwResp_EntryMatchVec).orR && io.ptw.resp.fire 196185e6164SHaoyuan Feng io.ptw.resp.ready := true.B 197185e6164SHaoyuan Feng // DontCare 198185e6164SHaoyuan Feng io.tlb.req.map(_.ready := true.B) 199185e6164SHaoyuan Feng io.tlb.resp.valid := false.B 200185e6164SHaoyuan Feng io.tlb.resp.bits.data := 0.U.asTypeOf(new PtwSectorRespwithMemIdx) 201185e6164SHaoyuan Feng io.tlb.resp.bits.vector := 0.U.asTypeOf(Vec(Width, Bool())) 202185e6164SHaoyuan Feng io.memidx := 0.U.asTypeOf(new MemBlockidxBundle) 203185e6164SHaoyuan Feng 204185e6164SHaoyuan Feng // ugly code, should be optimized later 205ec86549eSsfencevma if (Enable3Load3Store) { 206ec86549eSsfencevma require(Width <= 4, s"DTLB Filter Width ($Width) must equal or less than 4") 207ec86549eSsfencevma if (Width == 1) { 208ec86549eSsfencevma require(Size == 8, s"prefetch filter Size ($Size) should be 8") 209ec86549eSsfencevma canenq(0) := !(Cat(v).andR) 210ec86549eSsfencevma enqidx(0) := firstValidIndex(v, false.B) 211ec86549eSsfencevma } else if (Width == 3) { 212ec86549eSsfencevma require(Size == 8, s"store filter Size ($Size) should be 8") 213ec86549eSsfencevma canenq(0) := !(Cat(v.take(3)).andR) 214ec86549eSsfencevma enqidx(0) := firstValidIndex(v.take(3), false.B) 215ec86549eSsfencevma canenq(1) := !(Cat(v.drop(3).take(3)).andR) 216ec86549eSsfencevma enqidx(1) := firstValidIndex(v.drop(3).take(3), false.B) + 3.U 217ec86549eSsfencevma canenq(2) := !(Cat(v.drop(6).take(2)).andR) 218ec86549eSsfencevma enqidx(2) := firstValidIndex(v.drop(6).take(2), false.B) + 6.U 219ec86549eSsfencevma } else if (Width == 4) { 220ec86549eSsfencevma require(Size == 16, s"load filter Size ($Size) should be 16") 221ec86549eSsfencevma canenq(0) := !(Cat(v.take(4)).andR) 222ec86549eSsfencevma enqidx(0) := firstValidIndex(v.take(4), false.B) 223ec86549eSsfencevma canenq(1) := !(Cat(v.drop(4).take(4)).andR) 224ec86549eSsfencevma enqidx(1) := firstValidIndex(v.drop(4).take(4), false.B) + 4.U 225ec86549eSsfencevma canenq(2) := !(Cat(v.drop(8).take(4)).andR) 226ec86549eSsfencevma enqidx(2) := firstValidIndex(v.drop(8).take(4), false.B) + 8.U 227ec86549eSsfencevma canenq(3) := !(Cat(v.drop(12).take(4)).andR) 228ec86549eSsfencevma enqidx(3) := firstValidIndex(v.drop(12).take(4), false.B) + 12.U 229ec86549eSsfencevma } 230ec86549eSsfencevma } else { 231185e6164SHaoyuan Feng require(Width <= 3, s"DTLB Filter Width ($Width) must equal or less than 3") 232185e6164SHaoyuan Feng if (Width == 1) { 233185e6164SHaoyuan Feng require(Size == 8, s"prefetch filter Size ($Size) should be 8") 234185e6164SHaoyuan Feng canenq(0) := !(Cat(v).andR) 235185e6164SHaoyuan Feng enqidx(0) := firstValidIndex(v, false.B) 236185e6164SHaoyuan Feng } else if (Width == 2) { 237185e6164SHaoyuan Feng require(Size == 8, s"store filter Size ($Size) should be 8") 238185e6164SHaoyuan Feng canenq(0) := !(Cat(v.take(Size/2)).andR) 239185e6164SHaoyuan Feng enqidx(0) := firstValidIndex(v.take(Size/2), false.B) 240185e6164SHaoyuan Feng canenq(1) := !(Cat(v.drop(Size/2)).andR) 241185e6164SHaoyuan Feng enqidx(1) := firstValidIndex(v.drop(Size/2), false.B) + (Size/2).U 242185e6164SHaoyuan Feng } else if (Width == 3) { 243185e6164SHaoyuan Feng require(Size == 16, s"load filter Size ($Size) should be 16") 244185e6164SHaoyuan Feng canenq(0) := !(Cat(v.take(8)).andR) 245185e6164SHaoyuan Feng enqidx(0) := firstValidIndex(v.take(8), false.B) 246185e6164SHaoyuan Feng canenq(1) := !(Cat(v.drop(8).take(4)).andR) 247185e6164SHaoyuan Feng enqidx(1) := firstValidIndex(v.drop(8).take(4), false.B) + 8.U 248185e6164SHaoyuan Feng // four entries for prefetch 249185e6164SHaoyuan Feng canenq(2) := !(Cat(v.drop(12)).andR) 250185e6164SHaoyuan Feng enqidx(2) := firstValidIndex(v.drop(12), false.B) + 12.U 251185e6164SHaoyuan Feng } 252ec86549eSsfencevma } 253ec86549eSsfencevma 254185e6164SHaoyuan Feng 255185e6164SHaoyuan Feng for (i <- 0 until Width) { 256185e6164SHaoyuan Feng enqvalid(i) := io.tlb.req(i).valid && !ptwResp_ReqMatchVec(i) && !entryIsMatchVec(i) && canenq(i) 257185e6164SHaoyuan Feng when (!enqvalid(i)) { 258185e6164SHaoyuan Feng enqidx(i) := entryMatchIndexVec(i) 259185e6164SHaoyuan Feng } 260185e6164SHaoyuan Feng 261185e6164SHaoyuan Feng val entryIsMatch = vpn.zip(v).map{ case (pi, vi) => vi && pi === io.tlb.req(i).bits.vpn} 262185e6164SHaoyuan Feng entryIsMatchVec(i) := Cat(entryIsMatch).orR 263185e6164SHaoyuan Feng entryMatchIndexVec(i) := firstValidIndex(entryIsMatch, true.B) 264185e6164SHaoyuan Feng 265185e6164SHaoyuan Feng if (i > 0) { 266185e6164SHaoyuan Feng for (j <- 0 until i) { 267185e6164SHaoyuan Feng val newIsMatch = io.tlb.req(i).bits.vpn === io.tlb.req(j).bits.vpn 268185e6164SHaoyuan Feng when (newIsMatch && io.tlb.req(j).valid) { 269185e6164SHaoyuan Feng enqidx(i) := enqidx(j) 270185e6164SHaoyuan Feng canenq(i) := canenq(j) 271185e6164SHaoyuan Feng enqvalid(i) := false.B 272185e6164SHaoyuan Feng } 273185e6164SHaoyuan Feng } 274185e6164SHaoyuan Feng } 275185e6164SHaoyuan Feng 276185e6164SHaoyuan Feng when (enqvalid(i)) { 277185e6164SHaoyuan Feng v(enqidx(i)) := true.B 278185e6164SHaoyuan Feng sent(enqidx(i)) := false.B 279185e6164SHaoyuan Feng vpn(enqidx(i)) := io.tlb.req(i).bits.vpn 280185e6164SHaoyuan Feng memidx(enqidx(i)) := io.tlb.req(i).bits.memidx 281185e6164SHaoyuan Feng } 282185e6164SHaoyuan Feng } 283185e6164SHaoyuan Feng 284185e6164SHaoyuan Feng val issuevec = v.zip(sent).map{ case (v, s) => v && !s} 285185e6164SHaoyuan Feng val issueindex = firstValidIndex(issuevec, true.B) 286185e6164SHaoyuan Feng val canissue = Cat(issuevec).orR 287185e6164SHaoyuan Feng for (i <- 0 until Size) { 288185e6164SHaoyuan Feng io.ptw.req(0).valid := canissue 289185e6164SHaoyuan Feng io.ptw.req(0).bits.vpn := vpn(issueindex) 290185e6164SHaoyuan Feng } 291185e6164SHaoyuan Feng when (io.ptw.req(0).fire) { 292185e6164SHaoyuan Feng sent(issueindex) := true.B 293185e6164SHaoyuan Feng } 294185e6164SHaoyuan Feng 295185e6164SHaoyuan Feng when (io.ptw.resp.fire) { 296185e6164SHaoyuan Feng v.zip(ptwResp_EntryMatchVec).map{ case (vi, mi) => when (mi) { vi := false.B }} 297185e6164SHaoyuan Feng io.memidx := memidx(ptwResp_EntryMatchFirst) 298185e6164SHaoyuan Feng } 299185e6164SHaoyuan Feng 300185e6164SHaoyuan Feng when (io.flush) { 301185e6164SHaoyuan Feng v.map(_ := false.B) 302185e6164SHaoyuan Feng } 303185e6164SHaoyuan Feng 304185e6164SHaoyuan Feng if (hasHint) { 305185e6164SHaoyuan Feng val hintIO = io.hint.getOrElse(new TlbHintIO) 306185e6164SHaoyuan Feng for (i <- 0 until exuParameters.LduCnt) { 307185e6164SHaoyuan Feng hintIO.req(i).id := enqidx(i) 308185e6164SHaoyuan Feng hintIO.req(i).full := !canenq(i) || ptwResp_ReqMatchVec(i) 309185e6164SHaoyuan Feng } 310185e6164SHaoyuan Feng hintIO.resp.valid := io.refill 311185e6164SHaoyuan Feng hintIO.resp.bits.id := ptwResp_EntryMatchFirst 312185e6164SHaoyuan Feng hintIO.resp.bits.replay_all := PopCount(ptwResp_EntryMatchVec) > 1.U 313185e6164SHaoyuan Feng } 314185e6164SHaoyuan Feng 315185e6164SHaoyuan Feng io.rob_head_miss_in_tlb := VecInit(v.zip(vpn).map{case (vi, vpni) => { 316185e6164SHaoyuan Feng vi && io.debugTopDown.robHeadVaddr.valid && vpni === get_pn(io.debugTopDown.robHeadVaddr.bits) 317185e6164SHaoyuan Feng }}).asUInt.orR 318185e6164SHaoyuan Feng 319185e6164SHaoyuan Feng 320185e6164SHaoyuan Feng // Perf Counter 321185e6164SHaoyuan Feng val counter = PopCount(v) 322185e6164SHaoyuan Feng val inflight_counter = RegInit(0.U(log2Up(Size).W)) 323185e6164SHaoyuan Feng val inflight_full = inflight_counter === Size.U 324185e6164SHaoyuan Feng when (io.ptw.req(0).fire =/= io.ptw.resp.fire) { 325185e6164SHaoyuan Feng inflight_counter := Mux(io.ptw.req(0).fire, inflight_counter + 1.U, inflight_counter - 1.U) 326185e6164SHaoyuan Feng } 327185e6164SHaoyuan Feng 328185e6164SHaoyuan Feng assert(inflight_counter <= Size.U, "inflight should be no more than Size") 329185e6164SHaoyuan Feng when (counter === 0.U) { 330185e6164SHaoyuan Feng assert(!io.ptw.req(0).fire, "when counter is 0, should not req") 331185e6164SHaoyuan Feng } 332185e6164SHaoyuan Feng 333185e6164SHaoyuan Feng when (io.flush) { 334185e6164SHaoyuan Feng inflight_counter := 0.U 335185e6164SHaoyuan Feng } 336185e6164SHaoyuan Feng 337185e6164SHaoyuan Feng XSPerfAccumulate("tlb_req_count", PopCount(Cat(io.tlb.req.map(_.valid)))) 338185e6164SHaoyuan Feng XSPerfAccumulate("tlb_req_count_filtered", PopCount(enqvalid)) 339185e6164SHaoyuan Feng XSPerfAccumulate("ptw_req_count", io.ptw.req(0).fire) 340185e6164SHaoyuan Feng XSPerfAccumulate("ptw_req_cycle", inflight_counter) 341185e6164SHaoyuan Feng XSPerfAccumulate("tlb_resp_count", io.tlb.resp.fire) 342185e6164SHaoyuan Feng XSPerfAccumulate("ptw_resp_count", io.ptw.resp.fire) 343185e6164SHaoyuan Feng XSPerfAccumulate("inflight_cycle", Cat(sent).orR) 344185e6164SHaoyuan Feng 345185e6164SHaoyuan Feng for (i <- 0 until Size + 1) { 346185e6164SHaoyuan Feng XSPerfAccumulate(s"counter${i}", counter === i.U) 347185e6164SHaoyuan Feng } 348185e6164SHaoyuan Feng 349185e6164SHaoyuan Feng for (i <- 0 until Size) { 350185e6164SHaoyuan Feng TimeOutAssert(v(i), timeOutThreshold, s"Filter ${i} doesn't recv resp in time") 351185e6164SHaoyuan Feng } 352185e6164SHaoyuan Feng 353185e6164SHaoyuan Feng} 354185e6164SHaoyuan Feng 355185e6164SHaoyuan Fengclass PTWNewFilter(Width: Int, Size: Int, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 356185e6164SHaoyuan Feng require(Size >= Width) 357185e6164SHaoyuan Feng 358185e6164SHaoyuan Feng val io = IO(new PTWFilterIO(Width, hasHint = true)) 359185e6164SHaoyuan Feng 360185e6164SHaoyuan Feng val load_filter = VecInit(Seq.fill(1) { 361185e6164SHaoyuan Feng val load_entry = Module(new PTWFilterEntry(Width = exuParameters.LduCnt + 1, Size = loadfiltersize, hasHint = true)) 362185e6164SHaoyuan Feng load_entry.io 363185e6164SHaoyuan Feng }) 364185e6164SHaoyuan Feng 365185e6164SHaoyuan Feng val store_filter = VecInit(Seq.fill(1) { 366185e6164SHaoyuan Feng val store_entry = Module(new PTWFilterEntry(Width = exuParameters.StuCnt, Size = storefiltersize)) 367185e6164SHaoyuan Feng store_entry.io 368185e6164SHaoyuan Feng }) 369185e6164SHaoyuan Feng 370185e6164SHaoyuan Feng val prefetch_filter = VecInit(Seq.fill(1) { 371185e6164SHaoyuan Feng val prefetch_entry = Module(new PTWFilterEntry(Width = 1, Size = prefetchfiltersize)) 372185e6164SHaoyuan Feng prefetch_entry.io 373185e6164SHaoyuan Feng }) 374185e6164SHaoyuan Feng 375185e6164SHaoyuan Feng val filter = load_filter ++ store_filter ++ prefetch_filter 376185e6164SHaoyuan Feng 377185e6164SHaoyuan Feng load_filter.map(_.tlb.req := io.tlb.req.take(exuParameters.LduCnt + 1)) 378185e6164SHaoyuan Feng store_filter.map(_.tlb.req := io.tlb.req.drop(exuParameters.LduCnt + 1).take(exuParameters.StuCnt)) 379185e6164SHaoyuan Feng prefetch_filter.map(_.tlb.req := io.tlb.req.drop(exuParameters.LduCnt + 1 + exuParameters.StuCnt)) 380185e6164SHaoyuan Feng 381185e6164SHaoyuan Feng val flush = DelayN(io.sfence.valid || io.csr.satp.changed, FenceDelay) 382185e6164SHaoyuan Feng val ptwResp = RegEnable(io.ptw.resp.bits, io.ptw.resp.fire) 383185e6164SHaoyuan Feng val ptwResp_valid = Cat(filter.map(_.refill)).orR 384185e6164SHaoyuan Feng filter.map(_.tlb.resp.ready := true.B) 385185e6164SHaoyuan Feng filter.map(_.ptw.resp.valid := RegNext(io.ptw.resp.fire, init = false.B)) 386185e6164SHaoyuan Feng filter.map(_.ptw.resp.bits := ptwResp) 387185e6164SHaoyuan Feng filter.map(_.flush := flush) 388185e6164SHaoyuan Feng filter.map(_.sfence := io.sfence) 389185e6164SHaoyuan Feng filter.map(_.csr := io.csr) 390185e6164SHaoyuan Feng filter.map(_.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr) 391185e6164SHaoyuan Feng 392185e6164SHaoyuan Feng io.tlb.req.map(_.ready := true.B) 393185e6164SHaoyuan Feng io.tlb.resp.valid := ptwResp_valid 394185e6164SHaoyuan Feng io.tlb.resp.bits.data.entry := ptwResp.entry 395185e6164SHaoyuan Feng io.tlb.resp.bits.data.addr_low := ptwResp.addr_low 396185e6164SHaoyuan Feng io.tlb.resp.bits.data.ppn_low := ptwResp.ppn_low 397185e6164SHaoyuan Feng io.tlb.resp.bits.data.valididx := ptwResp.valididx 398185e6164SHaoyuan Feng io.tlb.resp.bits.data.pteidx := ptwResp.pteidx 399185e6164SHaoyuan Feng io.tlb.resp.bits.data.pf := ptwResp.pf 400185e6164SHaoyuan Feng io.tlb.resp.bits.data.af := ptwResp.af 401185e6164SHaoyuan Feng io.tlb.resp.bits.data.memidx := 0.U.asTypeOf(new MemBlockidxBundle) 402185e6164SHaoyuan Feng // vector used to represent different requestors of DTLB 403185e6164SHaoyuan Feng // (e.g. the store DTLB has StuCnt requestors) 404185e6164SHaoyuan Feng // However, it is only necessary to distinguish between different DTLB now 405185e6164SHaoyuan Feng for (i <- 0 until Width) { 406185e6164SHaoyuan Feng io.tlb.resp.bits.vector(i) := false.B 407185e6164SHaoyuan Feng } 408185e6164SHaoyuan Feng io.tlb.resp.bits.vector(0) := load_filter(0).refill 409185e6164SHaoyuan Feng io.tlb.resp.bits.vector(exuParameters.LduCnt + 1) := store_filter(0).refill 410185e6164SHaoyuan Feng io.tlb.resp.bits.vector(exuParameters.LduCnt + 1 + exuParameters.StuCnt) := prefetch_filter(0).refill 411185e6164SHaoyuan Feng 412185e6164SHaoyuan Feng val hintIO = io.hint.getOrElse(new TlbHintIO) 413185e6164SHaoyuan Feng val load_hintIO = load_filter(0).hint.getOrElse(new TlbHintIO) 414185e6164SHaoyuan Feng for (i <- 0 until exuParameters.LduCnt) { 415185e6164SHaoyuan Feng hintIO.req(i) := RegNext(load_hintIO.req(i)) 416185e6164SHaoyuan Feng } 417185e6164SHaoyuan Feng hintIO.resp := RegNext(load_hintIO.resp) 418185e6164SHaoyuan Feng 419185e6164SHaoyuan Feng when (load_filter(0).refill) { 420185e6164SHaoyuan Feng io.tlb.resp.bits.vector(0) := true.B 421185e6164SHaoyuan Feng io.tlb.resp.bits.data.memidx := load_filter(0).memidx 422185e6164SHaoyuan Feng } 423185e6164SHaoyuan Feng when (store_filter(0).refill) { 424185e6164SHaoyuan Feng io.tlb.resp.bits.vector(exuParameters.LduCnt + 1) := true.B 425185e6164SHaoyuan Feng io.tlb.resp.bits.data.memidx := store_filter(0).memidx 426185e6164SHaoyuan Feng } 427185e6164SHaoyuan Feng when (prefetch_filter(0).refill) { 428185e6164SHaoyuan Feng io.tlb.resp.bits.vector(exuParameters.LduCnt + 1 + exuParameters.StuCnt) := true.B 429185e6164SHaoyuan Feng io.tlb.resp.bits.data.memidx := 0.U.asTypeOf(new MemBlockidxBundle) 430185e6164SHaoyuan Feng } 431185e6164SHaoyuan Feng 432185e6164SHaoyuan Feng val ptw_arb = Module(new RRArbiterInit(new PtwReq, 3)) 433185e6164SHaoyuan Feng for (i <- 0 until 3) { 434185e6164SHaoyuan Feng ptw_arb.io.in(i).valid := filter(i).ptw.req(0).valid 435185e6164SHaoyuan Feng ptw_arb.io.in(i).bits.vpn := filter(i).ptw.req(0).bits.vpn 436185e6164SHaoyuan Feng filter(i).ptw.req(0).ready := ptw_arb.io.in(i).ready 437185e6164SHaoyuan Feng } 438185e6164SHaoyuan Feng ptw_arb.io.out.ready := io.ptw.req(0).ready 439185e6164SHaoyuan Feng io.ptw.req(0).valid := ptw_arb.io.out.valid 440185e6164SHaoyuan Feng io.ptw.req(0).bits.vpn := ptw_arb.io.out.bits.vpn 441185e6164SHaoyuan Feng io.ptw.resp.ready := true.B 442185e6164SHaoyuan Feng 443185e6164SHaoyuan Feng io.rob_head_miss_in_tlb := Cat(filter.map(_.rob_head_miss_in_tlb)).orR 444185e6164SHaoyuan Feng} 445185e6164SHaoyuan Feng 446f1fe8698SLemoverclass PTWFilter(Width: Int, Size: Int, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 4476d5ddbceSLemover require(Size >= Width) 4486d5ddbceSLemover 44945f497a4Shappy-lx val io = IO(new PTWFilterIO(Width)) 45045f497a4Shappy-lx 4516d5ddbceSLemover val v = RegInit(VecInit(Seq.fill(Size)(false.B))) 452a0301c0dSLemover val ports = Reg(Vec(Size, Vec(Width, Bool()))) // record which port(s) the entry come from, may not able to cover all the ports 4536d5ddbceSLemover val vpn = Reg(Vec(Size, UInt(vpnLen.W))) 454*d0de7e4aSpeixiaokun val gvpn = Reg(Vec(Size, UInt(gvpnLen.W))) 455*d0de7e4aSpeixiaokun val s2xlate = Reg(Vec(Size, UInt(2.W))) 4568744445eSMaxpicca-Li val memidx = Reg(Vec(Size, new MemBlockidxBundle)) 4576d5ddbceSLemover val enqPtr = RegInit(0.U(log2Up(Size).W)) // Enq 4586d5ddbceSLemover val issPtr = RegInit(0.U(log2Up(Size).W)) // Iss to Ptw 4596d5ddbceSLemover val deqPtr = RegInit(0.U(log2Up(Size).W)) // Deq 4606d5ddbceSLemover val mayFullDeq = RegInit(false.B) 4616d5ddbceSLemover val mayFullIss = RegInit(false.B) 4626d5ddbceSLemover val counter = RegInit(0.U(log2Up(Size+1).W)) 463*d0de7e4aSpeixiaokun val flush = DelayN(io.sfence.valid || io.csr.satp.changed || (io.csr.priv.virt && io.csr.vsatp.changed, FenceDelay) 464f1fe8698SLemover val tlb_req = WireInit(io.tlb.req) // NOTE: tlb_req is not io.tlb.req, see below codes, just use cloneType 465cccfc98dSLemover tlb_req.suggestName("tlb_req") 466cccfc98dSLemover 467fa9f9690SLemover val inflight_counter = RegInit(0.U(log2Up(Size + 1).W)) 468fa9f9690SLemover val inflight_full = inflight_counter === Size.U 469*d0de7e4aSpeixiaokun 470*d0de7e4aSpeixiaokun def ptwResp_hit(vpn: UInt, s2xlate: UInt, resp: PtwRespS2): Bool = { 471*d0de7e4aSpeixiaokun val enableS2xlate = resp.s2xlate(0) 472*d0de7e4aSpeixiaokun val onlyS2 = enableS2xlate && resp.s2xlate(1) 473*d0de7e4aSpeixiaokun val s1hit = resp.s1.hit(vpn, 0, io.csr.hgatp.asid, true, true, enableS2xlate) 474*d0de7e4aSpeixiaokun val s2hit = resp.s2.hit(vpn, io.csr.hgatp.asid) 475*d0de7e4aSpeixiaokun s2xlate === resp.s2xlate && Mux(enableS2xlate, Mux(onlyS2, s2hit, s1hit && s2hit), s1hit) 476*d0de7e4aSpeixiaokun } 477*d0de7e4aSpeixiaokun 478935edac4STang Haojin when (io.ptw.req(0).fire =/= io.ptw.resp.fire) { 479935edac4STang Haojin inflight_counter := Mux(io.ptw.req(0).fire, inflight_counter + 1.U, inflight_counter - 1.U) 480fa9f9690SLemover } 481fa9f9690SLemover 48287f41827SLemover val canEnqueue = Wire(Bool()) // NOTE: actually enqueue 483935edac4STang Haojin val ptwResp = RegEnable(io.ptw.resp.bits, io.ptw.resp.fire) 484*d0de7e4aSpeixiaokun val ptwResp_OldMatchVec = vpn.zip(v).zip(s2xlate).map { case (((vpn, v), s2xlate)) =>{ 485*d0de7e4aSpeixiaokun v && ptwResp_hit(vpn, s2xlate, ptwResp) 486*d0de7e4aSpeixiaokun } 487*d0de7e4aSpeixiaokun } 488935edac4STang Haojin val ptwResp_valid = RegNext(io.ptw.resp.fire && Cat(ptwResp_OldMatchVec).orR, init = false.B) 48963632028SHaoyuan Feng // May send repeated requests to L2 tlb with same vpn(26, 3) when sector tlb 490*d0de7e4aSpeixiaokun val oldMatchVec_early = io.tlb.req.map(a => vpn.zip(v).zip(s2xlate).map{ case ((pi, vi), s2xlate) => vi && pi === a.bits.vpn && s2xlate === a.bits.s2xlate }) 491*d0de7e4aSpeixiaokun val lastReqMatchVec_early = io.tlb.req.map(a => tlb_req.map{ b => b.valid && b.bits.vpn === a.bits.vpn && canEnqueue && b.bits.s2xlate === a.bits.s2xlate}) 492*d0de7e4aSpeixiaokun val newMatchVec_early = io.tlb.req.map(a => io.tlb.req.map(b => a.bits.vpn === b.bits.vpn && a.bits.s2xlate === b.bits.s2xlate)) 493cccfc98dSLemover 494cccfc98dSLemover (0 until Width) foreach { i => 495cccfc98dSLemover tlb_req(i).valid := RegNext(io.tlb.req(i).valid && 496*d0de7e4aSpeixiaokun !(ptwResp_valid && ptwResp_hit(io.tlb.req(i).bits.vpn, io.tlb.req(i).bits.s2xlate, ptwResp)) && 497cccfc98dSLemover !Cat(lastReqMatchVec_early(i)).orR, 498cccfc98dSLemover init = false.B) 499cccfc98dSLemover tlb_req(i).bits := RegEnable(io.tlb.req(i).bits, io.tlb.req(i).valid) 500cccfc98dSLemover } 501cccfc98dSLemover 502cccfc98dSLemover val oldMatchVec = oldMatchVec_early.map(a => RegNext(Cat(a).orR)) 503cccfc98dSLemover val newMatchVec = (0 until Width).map(i => (0 until Width).map(j => 504cccfc98dSLemover RegNext(newMatchVec_early(i)(j)) && tlb_req(j).valid 505cccfc98dSLemover )) 506cccfc98dSLemover val ptwResp_newMatchVec = tlb_req.map(a => 507*d0de7e4aSpeixiaokun ptwResp_valid && ptwResp_hit(a.bits.vpn, a.bits.s2xlate, ptwResp)) 508cccfc98dSLemover 509cccfc98dSLemover val oldMatchVec2 = (0 until Width).map(i => oldMatchVec_early(i).map(RegNext(_)).map(_ & tlb_req(i).valid)) 510cccfc98dSLemover val update_ports = v.indices.map(i => oldMatchVec2.map(j => j(i))) 511a0301c0dSLemover val ports_init = (0 until Width).map(i => (1 << i).U(Width.W)) 512a0301c0dSLemover val filter_ports = (0 until Width).map(i => ParallelMux(newMatchVec(i).zip(ports_init).drop(i))) 513935edac4STang Haojin val resp_vector = RegEnable(ParallelMux(ptwResp_OldMatchVec zip ports), io.ptw.resp.fire) 5146d5ddbceSLemover 515a0301c0dSLemover def canMerge(index: Int) : Bool = { 516cccfc98dSLemover ptwResp_newMatchVec(index) || oldMatchVec(index) || 517a0301c0dSLemover Cat(newMatchVec(index).take(index)).orR 518a0301c0dSLemover } 519a0301c0dSLemover 520a0301c0dSLemover def filter_req() = { 521a0301c0dSLemover val reqs = tlb_req.indices.map{ i => 5228744445eSMaxpicca-Li val req = Wire(ValidIO(new PtwReqwithMemIdx())) 523a0301c0dSLemover val merge = canMerge(i) 524a0301c0dSLemover req.bits := tlb_req(i).bits 525a0301c0dSLemover req.valid := !merge && tlb_req(i).valid 526a0301c0dSLemover req 527a0301c0dSLemover } 528a0301c0dSLemover reqs 529a0301c0dSLemover } 530a0301c0dSLemover 531a0301c0dSLemover val reqs = filter_req() 532a0301c0dSLemover val req_ports = filter_ports 5336d5ddbceSLemover val isFull = enqPtr === deqPtr && mayFullDeq 5346d5ddbceSLemover val isEmptyDeq = enqPtr === deqPtr && !mayFullDeq 5356d5ddbceSLemover val isEmptyIss = enqPtr === issPtr && !mayFullIss 5366d5ddbceSLemover val accumEnqNum = (0 until Width).map(i => PopCount(reqs.take(i).map(_.valid))) 537cccfc98dSLemover val enqPtrVecInit = VecInit((0 until Width).map(i => enqPtr + i.U)) 538cccfc98dSLemover val enqPtrVec = VecInit((0 until Width).map(i => enqPtrVecInit(accumEnqNum(i)))) 5396d5ddbceSLemover val enqNum = PopCount(reqs.map(_.valid)) 54087f41827SLemover canEnqueue := counter +& enqNum <= Size.U 5416d5ddbceSLemover 542f1fe8698SLemover // the req may recv false ready, but actually received. Filter and TLB will handle it. 543f1fe8698SLemover val enqNum_fake = PopCount(io.tlb.req.map(_.valid)) 544f1fe8698SLemover val canEnqueue_fake = counter +& enqNum_fake <= Size.U 545f1fe8698SLemover io.tlb.req.map(_.ready := canEnqueue_fake) // NOTE: just drop un-fire reqs 546f1fe8698SLemover 5470ab9ba15SLemover // tlb req flushed by ptw resp: last ptw resp && current ptw resp 5480ab9ba15SLemover // the flushed tlb req will fakely enq, with a false valid 549*d0de7e4aSpeixiaokun val tlb_req_flushed = reqs.map(a => io.ptw.resp.valid && ptwResp_hit(a.bits.vpn, a.bits.s2xlate, io.ptw.resp.bits)) 5500ab9ba15SLemover 551cccfc98dSLemover io.tlb.resp.valid := ptwResp_valid 552*d0de7e4aSpeixiaokun io.tlb.resp.bits.data := ptwResp 5538744445eSMaxpicca-Li io.tlb.resp.bits.data.memidx := memidx(OHToUInt(ptwResp_OldMatchVec)) 554a0301c0dSLemover io.tlb.resp.bits.vector := resp_vector 5552c2c1588SLemover 556fa9f9690SLemover val issue_valid = v(issPtr) && !isEmptyIss && !inflight_full 557*d0de7e4aSpeixiaokun val issue_filtered = ptwResp_valid && ptwResp_hit(io.ptw.req(0).bits.vpn, io.ptw.req(0).bits.s2xlate, ptwResp) 5582c2c1588SLemover val issue_fire_fake = issue_valid && (io.ptw.req(0).ready || (issue_filtered && false.B /*timing-opt*/)) 5592c2c1588SLemover io.ptw.req(0).valid := issue_valid && !issue_filtered 5606d5ddbceSLemover io.ptw.req(0).bits.vpn := vpn(issPtr) 561*d0de7e4aSpeixiaokun io.ptw.req(0).bits.gvpn := gvpn(issPtr) 562*d0de7e4aSpeixiaokun io.ptw.req(0).bits.s2xlate := s2xlate(issPtr) 5636d5ddbceSLemover io.ptw.resp.ready := true.B 5646d5ddbceSLemover 5656d5ddbceSLemover reqs.zipWithIndex.map{ 5666d5ddbceSLemover case (req, i) => 5676d5ddbceSLemover when (req.valid && canEnqueue) { 5680ab9ba15SLemover v(enqPtrVec(i)) := !tlb_req_flushed(i) 5696d5ddbceSLemover vpn(enqPtrVec(i)) := req.bits.vpn 570*d0de7e4aSpeixiaokun gvpn(enqPtrVec(i)) := req.bits.gvpn 571*d0de7e4aSpeixiaokun s2xlate(enqPtrVec(i)) := req.bits.s2xlate 5728744445eSMaxpicca-Li memidx(enqPtrVec(i)) := req.bits.memidx 573a0301c0dSLemover ports(enqPtrVec(i)) := req_ports(i).asBools 574a0301c0dSLemover } 575a0301c0dSLemover } 576a0301c0dSLemover for (i <- ports.indices) { 577a0301c0dSLemover when (v(i)) { 578a0301c0dSLemover ports(i) := ports(i).zip(update_ports(i)).map(a => a._1 || a._2) 5796d5ddbceSLemover } 5806d5ddbceSLemover } 5816d5ddbceSLemover 5826d5ddbceSLemover val do_enq = canEnqueue && Cat(reqs.map(_.valid)).orR 5836d5ddbceSLemover val do_deq = (!v(deqPtr) && !isEmptyDeq) 5842c2c1588SLemover val do_iss = issue_fire_fake || (!v(issPtr) && !isEmptyIss) 5856d5ddbceSLemover when (do_enq) { 5866d5ddbceSLemover enqPtr := enqPtr + enqNum 5876d5ddbceSLemover } 5886d5ddbceSLemover when (do_deq) { 5896d5ddbceSLemover deqPtr := deqPtr + 1.U 5906d5ddbceSLemover } 5916d5ddbceSLemover when (do_iss) { 5926d5ddbceSLemover issPtr := issPtr + 1.U 5936d5ddbceSLemover } 5942c2c1588SLemover when (issue_fire_fake && issue_filtered) { // issued but is filtered 5952c2c1588SLemover v(issPtr) := false.B 5962c2c1588SLemover } 5976d5ddbceSLemover when (do_enq =/= do_deq) { 5986d5ddbceSLemover mayFullDeq := do_enq 5996d5ddbceSLemover } 6006d5ddbceSLemover when (do_enq =/= do_iss) { 6016d5ddbceSLemover mayFullIss := do_enq 6026d5ddbceSLemover } 6036d5ddbceSLemover 604935edac4STang Haojin when (io.ptw.resp.fire) { 605cccfc98dSLemover v.zip(ptwResp_OldMatchVec).map{ case (vi, mi) => when (mi) { vi := false.B }} 6066d5ddbceSLemover } 6076d5ddbceSLemover 6086d5ddbceSLemover counter := counter - do_deq + Mux(do_enq, enqNum, 0.U) 609fa9f9690SLemover assert(counter <= Size.U, "counter should be no more than Size") 610fa9f9690SLemover assert(inflight_counter <= Size.U, "inflight should be no more than Size") 6116d5ddbceSLemover when (counter === 0.U) { 612935edac4STang Haojin assert(!io.ptw.req(0).fire, "when counter is 0, should not req") 6136d5ddbceSLemover assert(isEmptyDeq && isEmptyIss, "when counter is 0, should be empty") 6146d5ddbceSLemover } 6156d5ddbceSLemover when (counter === Size.U) { 6166d5ddbceSLemover assert(mayFullDeq, "when counter is Size, should be full") 6176d5ddbceSLemover } 6186d5ddbceSLemover 61945f497a4Shappy-lx when (flush) { 6206d5ddbceSLemover v.map(_ := false.B) 6216d5ddbceSLemover deqPtr := 0.U 6226d5ddbceSLemover enqPtr := 0.U 6236d5ddbceSLemover issPtr := 0.U 6246d5ddbceSLemover ptwResp_valid := false.B 6256d5ddbceSLemover mayFullDeq := false.B 6266d5ddbceSLemover mayFullIss := false.B 6276d5ddbceSLemover counter := 0.U 628fa9f9690SLemover inflight_counter := 0.U 6296d5ddbceSLemover } 6306d5ddbceSLemover 63160ebee38STang Haojin val robHeadVaddr = io.debugTopDown.robHeadVaddr 632d2b20d1aSTang Haojin io.rob_head_miss_in_tlb := VecInit(v.zip(vpn).map{case (vi, vpni) => { 63360ebee38STang Haojin vi && robHeadVaddr.valid && vpni === get_pn(robHeadVaddr.bits) 634d2b20d1aSTang Haojin }}).asUInt.orR 635d2b20d1aSTang Haojin 6366d5ddbceSLemover // perf 6376d5ddbceSLemover XSPerfAccumulate("tlb_req_count", PopCount(Cat(io.tlb.req.map(_.valid)))) 6386d5ddbceSLemover XSPerfAccumulate("tlb_req_count_filtered", Mux(do_enq, accumEnqNum(Width - 1), 0.U)) 639935edac4STang Haojin XSPerfAccumulate("ptw_req_count", io.ptw.req(0).fire) 6406d5ddbceSLemover XSPerfAccumulate("ptw_req_cycle", inflight_counter) 641935edac4STang Haojin XSPerfAccumulate("tlb_resp_count", io.tlb.resp.fire) 642935edac4STang Haojin XSPerfAccumulate("ptw_resp_count", io.ptw.resp.fire) 6436d5ddbceSLemover XSPerfAccumulate("inflight_cycle", !isEmptyDeq) 6446d5ddbceSLemover for (i <- 0 until Size + 1) { 6456d5ddbceSLemover XSPerfAccumulate(s"counter${i}", counter === i.U) 6466d5ddbceSLemover } 6479bd9cdfaSLemover 6489bd9cdfaSLemover for (i <- 0 until Size) { 6499bd9cdfaSLemover TimeOutAssert(v(i), timeOutThreshold, s"Filter ${i} doesn't recv resp in time") 6509bd9cdfaSLemover } 6516d5ddbceSLemover} 65238ba1efdSLemover 65338ba1efdSLemoverobject PTWRepeater { 654f1fe8698SLemover def apply(fenceDelay: Int, 65538ba1efdSLemover tlb: TlbPtwIO, 65638ba1efdSLemover sfence: SfenceBundle, 65738ba1efdSLemover csr: TlbCsrBundle 65838ba1efdSLemover )(implicit p: Parameters) = { 65938ba1efdSLemover val width = tlb.req.size 660f1fe8698SLemover val repeater = Module(new PTWRepeater(width, fenceDelay)) 66135d6335eSZhangZifei repeater.io.apply(tlb, sfence, csr) 66238ba1efdSLemover repeater 66338ba1efdSLemover } 66438ba1efdSLemover 665f1fe8698SLemover def apply(fenceDelay: Int, 66638ba1efdSLemover tlb: TlbPtwIO, 66738ba1efdSLemover ptw: TlbPtwIO, 66838ba1efdSLemover sfence: SfenceBundle, 66938ba1efdSLemover csr: TlbCsrBundle 67038ba1efdSLemover )(implicit p: Parameters) = { 67138ba1efdSLemover val width = tlb.req.size 672f1fe8698SLemover val repeater = Module(new PTWRepeater(width, fenceDelay)) 67335d6335eSZhangZifei repeater.io.apply(tlb, ptw, sfence, csr) 67435d6335eSZhangZifei repeater 67535d6335eSZhangZifei } 67635d6335eSZhangZifei} 67738ba1efdSLemover 67835d6335eSZhangZifeiobject PTWRepeaterNB { 679f1fe8698SLemover def apply(passReady: Boolean, fenceDelay: Int, 68035d6335eSZhangZifei tlb: TlbPtwIO, 68135d6335eSZhangZifei sfence: SfenceBundle, 68235d6335eSZhangZifei csr: TlbCsrBundle 68335d6335eSZhangZifei )(implicit p: Parameters) = { 68435d6335eSZhangZifei val width = tlb.req.size 685f1fe8698SLemover val repeater = Module(new PTWRepeaterNB(width, passReady,fenceDelay)) 68635d6335eSZhangZifei repeater.io.apply(tlb, sfence, csr) 68735d6335eSZhangZifei repeater 68835d6335eSZhangZifei } 68935d6335eSZhangZifei 690f1fe8698SLemover def apply(passReady: Boolean, fenceDelay: Int, 69135d6335eSZhangZifei tlb: TlbPtwIO, 69235d6335eSZhangZifei ptw: TlbPtwIO, 69335d6335eSZhangZifei sfence: SfenceBundle, 69435d6335eSZhangZifei csr: TlbCsrBundle 69535d6335eSZhangZifei )(implicit p: Parameters) = { 69635d6335eSZhangZifei val width = tlb.req.size 697f1fe8698SLemover val repeater = Module(new PTWRepeaterNB(width, passReady, fenceDelay)) 69835d6335eSZhangZifei repeater.io.apply(tlb, ptw, sfence, csr) 69938ba1efdSLemover repeater 70038ba1efdSLemover } 70138ba1efdSLemover} 70238ba1efdSLemover 70338ba1efdSLemoverobject PTWFilter { 704f1fe8698SLemover def apply(fenceDelay: Int, 705f1fe8698SLemover tlb: VectorTlbPtwIO, 70638ba1efdSLemover ptw: TlbPtwIO, 70738ba1efdSLemover sfence: SfenceBundle, 70838ba1efdSLemover csr: TlbCsrBundle, 70938ba1efdSLemover size: Int 71038ba1efdSLemover )(implicit p: Parameters) = { 71138ba1efdSLemover val width = tlb.req.size 712f1fe8698SLemover val filter = Module(new PTWFilter(width, size, fenceDelay)) 71335d6335eSZhangZifei filter.io.apply(tlb, ptw, sfence, csr) 71438ba1efdSLemover filter 71538ba1efdSLemover } 71635d6335eSZhangZifei 717f1fe8698SLemover def apply(fenceDelay: Int, 718f1fe8698SLemover tlb: VectorTlbPtwIO, 71935d6335eSZhangZifei sfence: SfenceBundle, 72035d6335eSZhangZifei csr: TlbCsrBundle, 72135d6335eSZhangZifei size: Int 72235d6335eSZhangZifei )(implicit p: Parameters) = { 72335d6335eSZhangZifei val width = tlb.req.size 724f1fe8698SLemover val filter = Module(new PTWFilter(width, size, fenceDelay)) 72535d6335eSZhangZifei filter.io.apply(tlb, sfence, csr) 72635d6335eSZhangZifei filter 72735d6335eSZhangZifei } 728185e6164SHaoyuan Feng} 72935d6335eSZhangZifei 730185e6164SHaoyuan Fengobject PTWNewFilter { 731185e6164SHaoyuan Feng def apply(fenceDelay: Int, 732185e6164SHaoyuan Feng tlb: VectorTlbPtwIO, 733185e6164SHaoyuan Feng ptw: TlbPtwIO, 734185e6164SHaoyuan Feng sfence: SfenceBundle, 735185e6164SHaoyuan Feng csr: TlbCsrBundle, 736185e6164SHaoyuan Feng size: Int 737185e6164SHaoyuan Feng )(implicit p: Parameters) = { 738185e6164SHaoyuan Feng val width = tlb.req.size 739185e6164SHaoyuan Feng val filter = Module(new PTWNewFilter(width, size, fenceDelay)) 740185e6164SHaoyuan Feng filter.io.apply(tlb, ptw, sfence, csr) 741185e6164SHaoyuan Feng filter 742185e6164SHaoyuan Feng } 743185e6164SHaoyuan Feng 744185e6164SHaoyuan Feng def apply(fenceDelay: Int, 745185e6164SHaoyuan Feng tlb: VectorTlbPtwIO, 746185e6164SHaoyuan Feng sfence: SfenceBundle, 747185e6164SHaoyuan Feng csr: TlbCsrBundle, 748185e6164SHaoyuan Feng size: Int 749185e6164SHaoyuan Feng )(implicit p: Parameters) = { 750185e6164SHaoyuan Feng val width = tlb.req.size 751185e6164SHaoyuan Feng val filter = Module(new PTWNewFilter(width, size, fenceDelay)) 752185e6164SHaoyuan Feng filter.io.apply(tlb, sfence, csr) 753185e6164SHaoyuan Feng filter 754185e6164SHaoyuan Feng } 75538ba1efdSLemover} 756