16d5ddbceSLemover/*************************************************************************************** 26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 46d5ddbceSLemover* 56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2. 66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at: 86d5ddbceSLemover* http://license.coscl.org.cn/MulanPSL2 96d5ddbceSLemover* 106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 136d5ddbceSLemover* 146d5ddbceSLemover* See the Mulan PSL v2 for more details. 156d5ddbceSLemover***************************************************************************************/ 166d5ddbceSLemover 176d5ddbceSLemoverpackage xiangshan.cache.mmu 186d5ddbceSLemover 196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters 206d5ddbceSLemoverimport chisel3._ 216d5ddbceSLemoverimport chisel3.util._ 226d5ddbceSLemoverimport xiangshan._ 236d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 246d5ddbceSLemoverimport utils._ 256d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 266d5ddbceSLemoverimport freechips.rocketchip.tilelink._ 276d5ddbceSLemover 286d5ddbceSLemoverclass PTWRepeater(Width: Int = 1)(implicit p: Parameters) extends XSModule with HasPtwConst { 296d5ddbceSLemover val io = IO(new Bundle { 306d5ddbceSLemover val tlb = Flipped(new TlbPtwIO(Width)) 316d5ddbceSLemover val ptw = new TlbPtwIO 326d5ddbceSLemover val sfence = Input(new SfenceBundle) 336d5ddbceSLemover }) 346d5ddbceSLemover val req_in = if (Width == 1) { 356d5ddbceSLemover io.tlb.req(0) 366d5ddbceSLemover } else { 376d5ddbceSLemover val arb = Module(new RRArbiter(io.tlb.req(0).bits.cloneType, Width)) 386d5ddbceSLemover arb.io.in <> io.tlb.req 396d5ddbceSLemover arb.io.out 406d5ddbceSLemover } 416d5ddbceSLemover val (tlb, ptw, sfence) = (io.tlb, io.ptw, RegNext(io.sfence.valid)) 426d5ddbceSLemover val req = RegEnable(req_in.bits, req_in.fire()) 436d5ddbceSLemover val resp = RegEnable(ptw.resp.bits, ptw.resp.fire()) 446d5ddbceSLemover val haveOne = BoolStopWatch(req_in.fire(), tlb.resp.fire() || sfence) 456d5ddbceSLemover val sent = BoolStopWatch(ptw.req(0).fire(), req_in.fire() || sfence) 466d5ddbceSLemover val recv = BoolStopWatch(ptw.resp.fire(), req_in.fire() || sfence) 476d5ddbceSLemover 486d5ddbceSLemover req_in.ready := !haveOne 496d5ddbceSLemover ptw.req(0).valid := haveOne && !sent 506d5ddbceSLemover ptw.req(0).bits := req 516d5ddbceSLemover 526d5ddbceSLemover tlb.resp.bits := resp 536d5ddbceSLemover tlb.resp.valid := haveOne && recv 546d5ddbceSLemover ptw.resp.ready := !recv 556d5ddbceSLemover 566d5ddbceSLemover XSPerfAccumulate("req_count", ptw.req(0).fire()) 576d5ddbceSLemover XSPerfAccumulate("tlb_req_cycle", BoolStopWatch(req_in.fire(), tlb.resp.fire() || sfence)) 586d5ddbceSLemover XSPerfAccumulate("ptw_req_cycle", BoolStopWatch(ptw.req(0).fire(), ptw.resp.fire() || sfence)) 596d5ddbceSLemover 606d5ddbceSLemover XSDebug(haveOne, p"haveOne:${haveOne} sent:${sent} recv:${recv} sfence:${sfence} req:${req} resp:${resp}") 616d5ddbceSLemover XSDebug(req_in.valid || io.tlb.resp.valid, p"tlb: ${tlb}\n") 626d5ddbceSLemover XSDebug(io.ptw.req(0).valid || io.ptw.resp.valid, p"ptw: ${ptw}\n") 636d5ddbceSLemover assert(!RegNext(recv && io.ptw.resp.valid, init = false.B), "re-receive ptw.resp") 646d5ddbceSLemover} 656d5ddbceSLemover 666d5ddbceSLemover/* dtlb 676d5ddbceSLemover * 686d5ddbceSLemover */ 696d5ddbceSLemoverclass PTWFilter(Width: Int, Size: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 706d5ddbceSLemover val io = IO(new Bundle { 71*a0301c0dSLemover val tlb = Flipped(new BTlbPtwIO(Width)) 72*a0301c0dSLemover val ptw = new TlbPtwIO() 736d5ddbceSLemover val sfence = Input(new SfenceBundle) 746d5ddbceSLemover }) 756d5ddbceSLemover 766d5ddbceSLemover require(Size >= Width) 776d5ddbceSLemover 786d5ddbceSLemover val v = RegInit(VecInit(Seq.fill(Size)(false.B))) 79*a0301c0dSLemover val ports = Reg(Vec(Size, Vec(Width, Bool()))) // record which port(s) the entry come from, may not able to cover all the ports 806d5ddbceSLemover val vpn = Reg(Vec(Size, UInt(vpnLen.W))) 816d5ddbceSLemover val enqPtr = RegInit(0.U(log2Up(Size).W)) // Enq 826d5ddbceSLemover val issPtr = RegInit(0.U(log2Up(Size).W)) // Iss to Ptw 836d5ddbceSLemover val deqPtr = RegInit(0.U(log2Up(Size).W)) // Deq 846d5ddbceSLemover val mayFullDeq = RegInit(false.B) 856d5ddbceSLemover val mayFullIss = RegInit(false.B) 866d5ddbceSLemover val counter = RegInit(0.U(log2Up(Size+1).W)) 876d5ddbceSLemover 886d5ddbceSLemover val sfence = RegNext(io.sfence) 896d5ddbceSLemover val ptwResp = RegEnable(io.ptw.resp.bits, io.ptw.resp.fire()) 906d5ddbceSLemover val ptwResp_valid = RegNext(io.ptw.resp.valid, init = false.B) 91*a0301c0dSLemover val tlb_req = io.tlb.req 92*a0301c0dSLemover val oldMatchVec = tlb_req.map(a => vpn.zip(v).map{case (pi, vi) => vi && a.valid && pi === a.bits.vpn }) 93*a0301c0dSLemover val newMatchVec = tlb_req.map(a => tlb_req.map(b => b.valid && a.valid && b.bits.vpn === a.bits.vpn )) 94*a0301c0dSLemover val ptwResp_newMatchVec = tlb_req.map(a => ptwResp_valid && ptwResp.entry.hit(a.bits.vpn, allType = true) && a.valid) // TODO: may have long latency 95*a0301c0dSLemover val ptwResp_oldMatchVec = vpn.zip(v).map{ case (pi, vi) => vi && ptwResp.entry.hit(pi, allType = true) } 96*a0301c0dSLemover val update_ports = v.indices.map(i => oldMatchVec.map(j => j(i))) 97*a0301c0dSLemover val ports_init = (0 until Width).map(i => (1 << i).U(Width.W)) 98*a0301c0dSLemover val filter_ports = (0 until Width).map(i => ParallelMux(newMatchVec(i).zip(ports_init).drop(i))) 99*a0301c0dSLemover val resp_vector = ParallelMux(ptwResp_oldMatchVec zip ports) 100*a0301c0dSLemover val resp_still_valid = ParallelOR(ptwResp_oldMatchVec).asBool 1016d5ddbceSLemover 102*a0301c0dSLemover def canMerge(index: Int) : Bool = { 103*a0301c0dSLemover ptwResp_newMatchVec(index) || 104*a0301c0dSLemover Cat(oldMatchVec(index)).orR || 105*a0301c0dSLemover Cat(newMatchVec(index).take(index)).orR 106*a0301c0dSLemover } 107*a0301c0dSLemover 108*a0301c0dSLemover def filter_req() = { 109*a0301c0dSLemover val reqs = tlb_req.indices.map{ i => 110*a0301c0dSLemover val req = Wire(ValidIO(new PtwReq())) 111*a0301c0dSLemover val merge = canMerge(i) 112*a0301c0dSLemover req.bits := tlb_req(i).bits 113*a0301c0dSLemover req.valid := !merge && tlb_req(i).valid 114*a0301c0dSLemover req 115*a0301c0dSLemover } 116*a0301c0dSLemover reqs 117*a0301c0dSLemover } 118*a0301c0dSLemover 119*a0301c0dSLemover 120*a0301c0dSLemover val reqs = filter_req() 121*a0301c0dSLemover val req_ports = filter_ports 1226d5ddbceSLemover var enqPtr_next = WireInit(deqPtr) 1236d5ddbceSLemover val isFull = enqPtr === deqPtr && mayFullDeq 1246d5ddbceSLemover val isEmptyDeq = enqPtr === deqPtr && !mayFullDeq 1256d5ddbceSLemover val isEmptyIss = enqPtr === issPtr && !mayFullIss 1266d5ddbceSLemover val accumEnqNum = (0 until Width).map(i => PopCount(reqs.take(i).map(_.valid))) 1276d5ddbceSLemover val enqPtrVec = VecInit((0 until Width).map(i => enqPtr + accumEnqNum(i))) 1286d5ddbceSLemover val enqNum = PopCount(reqs.map(_.valid)) 1296d5ddbceSLemover val canEnqueue = counter +& enqNum <= Size.U 1306d5ddbceSLemover 1316d5ddbceSLemover io.tlb.req.map(_.ready := true.B) // NOTE: just drop un-fire reqs 132*a0301c0dSLemover io.tlb.resp.valid := ptwResp_valid && resp_still_valid 133*a0301c0dSLemover io.tlb.resp.bits.data := ptwResp 134*a0301c0dSLemover io.tlb.resp.bits.vector := resp_vector 1356d5ddbceSLemover io.ptw.req(0).valid := v(issPtr) && !isEmptyIss && !(ptwResp_valid && ptwResp.entry.hit(io.ptw.req(0).bits.vpn)) 1366d5ddbceSLemover io.ptw.req(0).bits.vpn := vpn(issPtr) 1376d5ddbceSLemover io.ptw.resp.ready := true.B 1386d5ddbceSLemover 1396d5ddbceSLemover reqs.zipWithIndex.map{ 1406d5ddbceSLemover case (req, i) => 1416d5ddbceSLemover when (req.valid && canEnqueue) { 1426d5ddbceSLemover v(enqPtrVec(i)) := true.B 1436d5ddbceSLemover vpn(enqPtrVec(i)) := req.bits.vpn 144*a0301c0dSLemover ports(enqPtrVec(i)) := req_ports(i).asBools 145*a0301c0dSLemover } 146*a0301c0dSLemover } 147*a0301c0dSLemover for (i <- ports.indices) { 148*a0301c0dSLemover when (v(i)) { 149*a0301c0dSLemover ports(i) := ports(i).zip(update_ports(i)).map(a => a._1 || a._2) 1506d5ddbceSLemover } 1516d5ddbceSLemover } 1526d5ddbceSLemover 1536d5ddbceSLemover val do_enq = canEnqueue && Cat(reqs.map(_.valid)).orR 1546d5ddbceSLemover val do_deq = (!v(deqPtr) && !isEmptyDeq) 1556d5ddbceSLemover val do_iss = io.ptw.req(0).fire() || (!v(issPtr) && !isEmptyIss) 1566d5ddbceSLemover when (do_enq) { 1576d5ddbceSLemover enqPtr := enqPtr + enqNum 1586d5ddbceSLemover } 1596d5ddbceSLemover when (do_deq) { 1606d5ddbceSLemover deqPtr := deqPtr + 1.U 1616d5ddbceSLemover } 1626d5ddbceSLemover when (do_iss) { 1636d5ddbceSLemover issPtr := issPtr + 1.U 1646d5ddbceSLemover } 1656d5ddbceSLemover when (do_enq =/= do_deq) { 1666d5ddbceSLemover mayFullDeq := do_enq 1676d5ddbceSLemover } 1686d5ddbceSLemover when (do_enq =/= do_iss) { 1696d5ddbceSLemover mayFullIss := do_enq 1706d5ddbceSLemover } 1716d5ddbceSLemover 1726d5ddbceSLemover when (ptwResp_valid) { 173*a0301c0dSLemover v.zip(ptwResp_oldMatchVec).map{ case (vi, mi) => when (mi) { vi := false.B }} 1746d5ddbceSLemover } 1756d5ddbceSLemover 1766d5ddbceSLemover counter := counter - do_deq + Mux(do_enq, enqNum, 0.U) 1776d5ddbceSLemover assert(counter <= Size.U, "counter should be less than Size") 1786d5ddbceSLemover when (counter === 0.U) { 1796d5ddbceSLemover assert(!io.ptw.req(0).fire(), "when counter is 0, should not req") 1806d5ddbceSLemover assert(isEmptyDeq && isEmptyIss, "when counter is 0, should be empty") 1816d5ddbceSLemover } 1826d5ddbceSLemover when (counter === Size.U) { 1836d5ddbceSLemover assert(mayFullDeq, "when counter is Size, should be full") 1846d5ddbceSLemover } 1856d5ddbceSLemover 1866d5ddbceSLemover when (sfence.valid) { 1876d5ddbceSLemover v.map(_ := false.B) 1886d5ddbceSLemover deqPtr := 0.U 1896d5ddbceSLemover enqPtr := 0.U 1906d5ddbceSLemover issPtr := 0.U 1916d5ddbceSLemover ptwResp_valid := false.B 1926d5ddbceSLemover mayFullDeq := false.B 1936d5ddbceSLemover mayFullIss := false.B 1946d5ddbceSLemover counter := 0.U 1956d5ddbceSLemover } 1966d5ddbceSLemover 1976d5ddbceSLemover 1986d5ddbceSLemover // perf 1996d5ddbceSLemover val inflight_counter = RegInit(0.U(log2Up(Size + 1).W)) 2006d5ddbceSLemover when (io.ptw.req(0).fire() =/= io.ptw.resp.fire()) { 2016d5ddbceSLemover inflight_counter := Mux(io.ptw.req(0).fire(), inflight_counter + 1.U, inflight_counter - 1.U) 2026d5ddbceSLemover } 2036d5ddbceSLemover when (sfence.valid) { 2046d5ddbceSLemover inflight_counter := 0.U 2056d5ddbceSLemover } 2066d5ddbceSLemover XSPerfAccumulate("tlb_req_count", PopCount(Cat(io.tlb.req.map(_.valid)))) 2076d5ddbceSLemover XSPerfAccumulate("tlb_req_count_filtered", Mux(do_enq, accumEnqNum(Width - 1), 0.U)) 2086d5ddbceSLemover XSPerfAccumulate("ptw_req_count", io.ptw.req(0).fire()) 2096d5ddbceSLemover XSPerfAccumulate("ptw_req_cycle", inflight_counter) 2106d5ddbceSLemover XSPerfAccumulate("tlb_resp_count", io.tlb.resp.fire()) 2116d5ddbceSLemover XSPerfAccumulate("ptw_resp_count", io.ptw.resp.fire()) 2126d5ddbceSLemover XSPerfAccumulate("inflight_cycle", !isEmptyDeq) 2136d5ddbceSLemover for (i <- 0 until Size + 1) { 2146d5ddbceSLemover XSPerfAccumulate(s"counter${i}", counter === i.U) 2156d5ddbceSLemover } 2166d5ddbceSLemover} 217