16d5ddbceSLemover/*************************************************************************************** 26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 46d5ddbceSLemover* 56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2. 66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at: 86d5ddbceSLemover* http://license.coscl.org.cn/MulanPSL2 96d5ddbceSLemover* 106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 136d5ddbceSLemover* 146d5ddbceSLemover* See the Mulan PSL v2 for more details. 156d5ddbceSLemover***************************************************************************************/ 166d5ddbceSLemover 176d5ddbceSLemoverpackage xiangshan.cache.mmu 186d5ddbceSLemover 196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters 206d5ddbceSLemoverimport chisel3._ 216d5ddbceSLemoverimport chisel3.util._ 226d5ddbceSLemoverimport xiangshan._ 236d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 246d5ddbceSLemoverimport utils._ 253c02ee8fSwakafaimport utility._ 266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 276d5ddbceSLemoverimport freechips.rocketchip.tilelink._ 286d5ddbceSLemover 2945f497a4Shappy-lxclass PTWReapterIO(Width: Int)(implicit p: Parameters) extends MMUIOBaseBundle { 306d5ddbceSLemover val tlb = Flipped(new TlbPtwIO(Width)) 316d5ddbceSLemover val ptw = new TlbPtwIO 3245f497a4Shappy-lx 3335d6335eSZhangZifei def apply(tlb: TlbPtwIO, ptw: TlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 3435d6335eSZhangZifei this.tlb <> tlb 3535d6335eSZhangZifei this.ptw <> ptw 3635d6335eSZhangZifei this.sfence <> sfence 3735d6335eSZhangZifei this.csr <> csr 3835d6335eSZhangZifei } 3935d6335eSZhangZifei 4035d6335eSZhangZifei def apply(tlb: TlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 4135d6335eSZhangZifei this.tlb <> tlb 4235d6335eSZhangZifei this.sfence <> sfence 4335d6335eSZhangZifei this.csr <> csr 4435d6335eSZhangZifei } 4535d6335eSZhangZifei 4645f497a4Shappy-lx} 4745f497a4Shappy-lx 48f1fe8698SLemoverclass PTWRepeater(Width: Int = 1, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 4945f497a4Shappy-lx val io = IO(new PTWReapterIO(Width)) 5045f497a4Shappy-lx 516d5ddbceSLemover val req_in = if (Width == 1) { 526d5ddbceSLemover io.tlb.req(0) 536d5ddbceSLemover } else { 546d5ddbceSLemover val arb = Module(new RRArbiter(io.tlb.req(0).bits.cloneType, Width)) 556d5ddbceSLemover arb.io.in <> io.tlb.req 566d5ddbceSLemover arb.io.out 576d5ddbceSLemover } 58f1fe8698SLemover val (tlb, ptw, flush) = (io.tlb, io.ptw, DelayN(io.sfence.valid || io.csr.satp.changed, FenceDelay)) 596d5ddbceSLemover val req = RegEnable(req_in.bits, req_in.fire()) 606d5ddbceSLemover val resp = RegEnable(ptw.resp.bits, ptw.resp.fire()) 6145f497a4Shappy-lx val haveOne = BoolStopWatch(req_in.fire(), tlb.resp.fire() || flush) 6245f497a4Shappy-lx val sent = BoolStopWatch(ptw.req(0).fire(), req_in.fire() || flush) 63a8bd30cdSLemover val recv = BoolStopWatch(ptw.resp.fire() && haveOne, req_in.fire() || flush) 646d5ddbceSLemover 656d5ddbceSLemover req_in.ready := !haveOne 666d5ddbceSLemover ptw.req(0).valid := haveOne && !sent 676d5ddbceSLemover ptw.req(0).bits := req 686d5ddbceSLemover 696d5ddbceSLemover tlb.resp.bits := resp 706d5ddbceSLemover tlb.resp.valid := haveOne && recv 716d5ddbceSLemover ptw.resp.ready := !recv 726d5ddbceSLemover 736d5ddbceSLemover XSPerfAccumulate("req_count", ptw.req(0).fire()) 7445f497a4Shappy-lx XSPerfAccumulate("tlb_req_cycle", BoolStopWatch(req_in.fire(), tlb.resp.fire() || flush)) 7545f497a4Shappy-lx XSPerfAccumulate("ptw_req_cycle", BoolStopWatch(ptw.req(0).fire(), ptw.resp.fire() || flush)) 766d5ddbceSLemover 7745f497a4Shappy-lx XSDebug(haveOne, p"haveOne:${haveOne} sent:${sent} recv:${recv} sfence:${flush} req:${req} resp:${resp}") 786d5ddbceSLemover XSDebug(req_in.valid || io.tlb.resp.valid, p"tlb: ${tlb}\n") 796d5ddbceSLemover XSDebug(io.ptw.req(0).valid || io.ptw.resp.valid, p"ptw: ${ptw}\n") 806d5ddbceSLemover assert(!RegNext(recv && io.ptw.resp.valid, init = false.B), "re-receive ptw.resp") 81a8bd30cdSLemover XSError(io.ptw.req(0).valid && io.ptw.resp.valid && !flush, "ptw repeater recv resp when sending") 82a8bd30cdSLemover XSError(io.ptw.resp.valid && (req.vpn =/= io.ptw.resp.bits.entry.tag), "ptw repeater recv resp with wrong tag") 83a8bd30cdSLemover XSError(io.ptw.resp.valid && !io.ptw.resp.ready, "ptw repeater's ptw resp back, but not ready") 849bd9cdfaSLemover TimeOutAssert(sent && !recv, timeOutThreshold, "Repeater doesn't recv resp in time") 856d5ddbceSLemover} 866d5ddbceSLemover 876d5ddbceSLemover/* dtlb 886d5ddbceSLemover * 896d5ddbceSLemover */ 9035d6335eSZhangZifei 91f1fe8698SLemoverclass PTWRepeaterNB(Width: Int = 1, passReady: Boolean = false, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 9235d6335eSZhangZifei val io = IO(new PTWReapterIO(Width)) 9335d6335eSZhangZifei 9435d6335eSZhangZifei val req_in = if (Width == 1) { 9535d6335eSZhangZifei io.tlb.req(0) 9635d6335eSZhangZifei } else { 9735d6335eSZhangZifei val arb = Module(new RRArbiter(io.tlb.req(0).bits.cloneType, Width)) 9835d6335eSZhangZifei arb.io.in <> io.tlb.req 9935d6335eSZhangZifei arb.io.out 10035d6335eSZhangZifei } 101f1fe8698SLemover val (tlb, ptw, flush) = (io.tlb, io.ptw, DelayN(io.sfence.valid || io.csr.satp.changed, FenceDelay)) 10235d6335eSZhangZifei /* sent: tlb -> repeater -> ptw 10335d6335eSZhangZifei * recv: ptw -> repeater -> tlb 10435d6335eSZhangZifei * different from PTWRepeater 10535d6335eSZhangZifei */ 10635d6335eSZhangZifei 10735d6335eSZhangZifei // tlb -> repeater -> ptw 10835d6335eSZhangZifei val req = RegEnable(req_in.bits, req_in.fire()) 10935d6335eSZhangZifei val sent = BoolStopWatch(req_in.fire(), ptw.req(0).fire() || flush) 11035d6335eSZhangZifei req_in.ready := !sent || { if (passReady) ptw.req(0).ready else false.B } 11135d6335eSZhangZifei ptw.req(0).valid := sent 11235d6335eSZhangZifei ptw.req(0).bits := req 11335d6335eSZhangZifei 11435d6335eSZhangZifei // ptw -> repeater -> tlb 11535d6335eSZhangZifei val resp = RegEnable(ptw.resp.bits, ptw.resp.fire()) 11635d6335eSZhangZifei val recv = BoolStopWatch(ptw.resp.fire(), tlb.resp.fire() || flush) 11735d6335eSZhangZifei ptw.resp.ready := !recv || { if (passReady) tlb.resp.ready else false.B } 11835d6335eSZhangZifei tlb.resp.valid := recv 11935d6335eSZhangZifei tlb.resp.bits := resp 12035d6335eSZhangZifei 12135d6335eSZhangZifei XSPerfAccumulate("req", req_in.fire()) 12235d6335eSZhangZifei XSPerfAccumulate("resp", tlb.resp.fire()) 12335d6335eSZhangZifei if (!passReady) { 12435d6335eSZhangZifei XSPerfAccumulate("req_blank", req_in.valid && sent && ptw.req(0).ready) 12535d6335eSZhangZifei XSPerfAccumulate("resp_blank", ptw.resp.valid && recv && tlb.resp.ready) 12635d6335eSZhangZifei XSPerfAccumulate("req_blank_ignore_ready", req_in.valid && sent) 12735d6335eSZhangZifei XSPerfAccumulate("resp_blank_ignore_ready", ptw.resp.valid && recv) 12835d6335eSZhangZifei } 12935d6335eSZhangZifei XSDebug(req_in.valid || io.tlb.resp.valid, p"tlb: ${tlb}\n") 13035d6335eSZhangZifei XSDebug(io.ptw.req(0).valid || io.ptw.resp.valid, p"ptw: ${ptw}\n") 13135d6335eSZhangZifei} 13235d6335eSZhangZifei 13345f497a4Shappy-lxclass PTWFilterIO(Width: Int)(implicit p: Parameters) extends MMUIOBaseBundle { 134f1fe8698SLemover val tlb = Flipped(new VectorTlbPtwIO(Width)) 135a0301c0dSLemover val ptw = new TlbPtwIO() 1366d5ddbceSLemover 137f1fe8698SLemover def apply(tlb: VectorTlbPtwIO, ptw: TlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 13835d6335eSZhangZifei this.tlb <> tlb 13935d6335eSZhangZifei this.ptw <> ptw 14035d6335eSZhangZifei this.sfence <> sfence 14135d6335eSZhangZifei this.csr <> csr 14235d6335eSZhangZifei } 14335d6335eSZhangZifei 144f1fe8698SLemover def apply(tlb: VectorTlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 14535d6335eSZhangZifei this.tlb <> tlb 14635d6335eSZhangZifei this.sfence <> sfence 14735d6335eSZhangZifei this.csr <> csr 14835d6335eSZhangZifei } 14935d6335eSZhangZifei 15045f497a4Shappy-lx} 15145f497a4Shappy-lx 152f1fe8698SLemoverclass PTWFilter(Width: Int, Size: Int, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 1536d5ddbceSLemover require(Size >= Width) 1546d5ddbceSLemover 15545f497a4Shappy-lx val io = IO(new PTWFilterIO(Width)) 15645f497a4Shappy-lx 1576d5ddbceSLemover val v = RegInit(VecInit(Seq.fill(Size)(false.B))) 158a0301c0dSLemover val ports = Reg(Vec(Size, Vec(Width, Bool()))) // record which port(s) the entry come from, may not able to cover all the ports 1596d5ddbceSLemover val vpn = Reg(Vec(Size, UInt(vpnLen.W))) 1608744445eSMaxpicca-Li val memidx = Reg(Vec(Size, new MemBlockidxBundle)) 1616d5ddbceSLemover val enqPtr = RegInit(0.U(log2Up(Size).W)) // Enq 1626d5ddbceSLemover val issPtr = RegInit(0.U(log2Up(Size).W)) // Iss to Ptw 1636d5ddbceSLemover val deqPtr = RegInit(0.U(log2Up(Size).W)) // Deq 1646d5ddbceSLemover val mayFullDeq = RegInit(false.B) 1656d5ddbceSLemover val mayFullIss = RegInit(false.B) 1666d5ddbceSLemover val counter = RegInit(0.U(log2Up(Size+1).W)) 1676d5ddbceSLemover 168f1fe8698SLemover val flush = DelayN(io.sfence.valid || io.csr.satp.changed, FenceDelay) 169f1fe8698SLemover val tlb_req = WireInit(io.tlb.req) // NOTE: tlb_req is not io.tlb.req, see below codes, just use cloneType 170cccfc98dSLemover tlb_req.suggestName("tlb_req") 171cccfc98dSLemover 172fa9f9690SLemover val inflight_counter = RegInit(0.U(log2Up(Size + 1).W)) 173fa9f9690SLemover val inflight_full = inflight_counter === Size.U 174fa9f9690SLemover when (io.ptw.req(0).fire() =/= io.ptw.resp.fire()) { 175fa9f9690SLemover inflight_counter := Mux(io.ptw.req(0).fire(), inflight_counter + 1.U, inflight_counter - 1.U) 176fa9f9690SLemover } 177fa9f9690SLemover 17887f41827SLemover val canEnqueue = Wire(Bool()) // NOTE: actually enqueue 1796d5ddbceSLemover val ptwResp = RegEnable(io.ptw.resp.bits, io.ptw.resp.fire()) 180cccfc98dSLemover val ptwResp_OldMatchVec = vpn.zip(v).map{ case (pi, vi) => 181*63632028SHaoyuan Feng vi && io.ptw.resp.bits.hit(pi, io.csr.satp.asid, true, true)} 182cccfc98dSLemover val ptwResp_valid = RegNext(io.ptw.resp.fire() && Cat(ptwResp_OldMatchVec).orR, init = false.B) 183*63632028SHaoyuan Feng // May send repeated requests to L2 tlb with same vpn(26, 3) when sector tlb 184cccfc98dSLemover val oldMatchVec_early = io.tlb.req.map(a => vpn.zip(v).map{ case (pi, vi) => vi && pi === a.bits.vpn}) 18587f41827SLemover val lastReqMatchVec_early = io.tlb.req.map(a => tlb_req.map{ b => b.valid && b.bits.vpn === a.bits.vpn && canEnqueue}) 186cccfc98dSLemover val newMatchVec_early = io.tlb.req.map(a => io.tlb.req.map(b => a.bits.vpn === b.bits.vpn)) 187cccfc98dSLemover 188cccfc98dSLemover (0 until Width) foreach { i => 189cccfc98dSLemover tlb_req(i).valid := RegNext(io.tlb.req(i).valid && 190*63632028SHaoyuan Feng !(ptwResp_valid && ptwResp.hit(io.tlb.req(i).bits.vpn, 0.U, true, true)) && 191cccfc98dSLemover !Cat(lastReqMatchVec_early(i)).orR, 192cccfc98dSLemover init = false.B) 193cccfc98dSLemover tlb_req(i).bits := RegEnable(io.tlb.req(i).bits, io.tlb.req(i).valid) 194cccfc98dSLemover } 195cccfc98dSLemover 196cccfc98dSLemover val oldMatchVec = oldMatchVec_early.map(a => RegNext(Cat(a).orR)) 197cccfc98dSLemover val newMatchVec = (0 until Width).map(i => (0 until Width).map(j => 198cccfc98dSLemover RegNext(newMatchVec_early(i)(j)) && tlb_req(j).valid 199cccfc98dSLemover )) 200cccfc98dSLemover val ptwResp_newMatchVec = tlb_req.map(a => 201*63632028SHaoyuan Feng ptwResp_valid && ptwResp.hit(a.bits.vpn, 0.U, allType = true, true)) 202cccfc98dSLemover 203cccfc98dSLemover val oldMatchVec2 = (0 until Width).map(i => oldMatchVec_early(i).map(RegNext(_)).map(_ & tlb_req(i).valid)) 204cccfc98dSLemover val update_ports = v.indices.map(i => oldMatchVec2.map(j => j(i))) 205a0301c0dSLemover val ports_init = (0 until Width).map(i => (1 << i).U(Width.W)) 206a0301c0dSLemover val filter_ports = (0 until Width).map(i => ParallelMux(newMatchVec(i).zip(ports_init).drop(i))) 207cccfc98dSLemover val resp_vector = RegEnable(ParallelMux(ptwResp_OldMatchVec zip ports), io.ptw.resp.fire()) 2086d5ddbceSLemover 209a0301c0dSLemover def canMerge(index: Int) : Bool = { 210cccfc98dSLemover ptwResp_newMatchVec(index) || oldMatchVec(index) || 211a0301c0dSLemover Cat(newMatchVec(index).take(index)).orR 212a0301c0dSLemover } 213a0301c0dSLemover 214a0301c0dSLemover def filter_req() = { 215a0301c0dSLemover val reqs = tlb_req.indices.map{ i => 2168744445eSMaxpicca-Li val req = Wire(ValidIO(new PtwReqwithMemIdx())) 217a0301c0dSLemover val merge = canMerge(i) 218a0301c0dSLemover req.bits := tlb_req(i).bits 219a0301c0dSLemover req.valid := !merge && tlb_req(i).valid 220a0301c0dSLemover req 221a0301c0dSLemover } 222a0301c0dSLemover reqs 223a0301c0dSLemover } 224a0301c0dSLemover 225a0301c0dSLemover val reqs = filter_req() 226a0301c0dSLemover val req_ports = filter_ports 2276d5ddbceSLemover val isFull = enqPtr === deqPtr && mayFullDeq 2286d5ddbceSLemover val isEmptyDeq = enqPtr === deqPtr && !mayFullDeq 2296d5ddbceSLemover val isEmptyIss = enqPtr === issPtr && !mayFullIss 2306d5ddbceSLemover val accumEnqNum = (0 until Width).map(i => PopCount(reqs.take(i).map(_.valid))) 231cccfc98dSLemover val enqPtrVecInit = VecInit((0 until Width).map(i => enqPtr + i.U)) 232cccfc98dSLemover val enqPtrVec = VecInit((0 until Width).map(i => enqPtrVecInit(accumEnqNum(i)))) 2336d5ddbceSLemover val enqNum = PopCount(reqs.map(_.valid)) 23487f41827SLemover canEnqueue := counter +& enqNum <= Size.U 2356d5ddbceSLemover 236f1fe8698SLemover // the req may recv false ready, but actually received. Filter and TLB will handle it. 237f1fe8698SLemover val enqNum_fake = PopCount(io.tlb.req.map(_.valid)) 238f1fe8698SLemover val canEnqueue_fake = counter +& enqNum_fake <= Size.U 239f1fe8698SLemover io.tlb.req.map(_.ready := canEnqueue_fake) // NOTE: just drop un-fire reqs 240f1fe8698SLemover 2410ab9ba15SLemover // tlb req flushed by ptw resp: last ptw resp && current ptw resp 2420ab9ba15SLemover // the flushed tlb req will fakely enq, with a false valid 243*63632028SHaoyuan Feng val tlb_req_flushed = reqs.map(a => io.ptw.resp.valid && io.ptw.resp.bits.hit(a.bits.vpn, 0.U, true, true)) 2440ab9ba15SLemover 245cccfc98dSLemover io.tlb.resp.valid := ptwResp_valid 2468744445eSMaxpicca-Li io.tlb.resp.bits.data.entry := ptwResp.entry 247*63632028SHaoyuan Feng io.tlb.resp.bits.data.addr_low := ptwResp.addr_low 248*63632028SHaoyuan Feng io.tlb.resp.bits.data.ppn_low := ptwResp.ppn_low 249*63632028SHaoyuan Feng io.tlb.resp.bits.data.valididx := ptwResp.valididx 2508744445eSMaxpicca-Li io.tlb.resp.bits.data.pf := ptwResp.pf 2518744445eSMaxpicca-Li io.tlb.resp.bits.data.af := ptwResp.af 2528744445eSMaxpicca-Li io.tlb.resp.bits.data.memidx := memidx(OHToUInt(ptwResp_OldMatchVec)) 253a0301c0dSLemover io.tlb.resp.bits.vector := resp_vector 2542c2c1588SLemover 255fa9f9690SLemover val issue_valid = v(issPtr) && !isEmptyIss && !inflight_full 256*63632028SHaoyuan Feng val issue_filtered = ptwResp_valid && ptwResp.hit(io.ptw.req(0).bits.vpn, io.csr.satp.asid, allType=true, ignoreAsid=true) 2572c2c1588SLemover val issue_fire_fake = issue_valid && (io.ptw.req(0).ready || (issue_filtered && false.B /*timing-opt*/)) 2582c2c1588SLemover io.ptw.req(0).valid := issue_valid && !issue_filtered 2596d5ddbceSLemover io.ptw.req(0).bits.vpn := vpn(issPtr) 2606d5ddbceSLemover io.ptw.resp.ready := true.B 2616d5ddbceSLemover 2626d5ddbceSLemover reqs.zipWithIndex.map{ 2636d5ddbceSLemover case (req, i) => 2646d5ddbceSLemover when (req.valid && canEnqueue) { 2650ab9ba15SLemover v(enqPtrVec(i)) := !tlb_req_flushed(i) 2666d5ddbceSLemover vpn(enqPtrVec(i)) := req.bits.vpn 2678744445eSMaxpicca-Li memidx(enqPtrVec(i)) := req.bits.memidx 268a0301c0dSLemover ports(enqPtrVec(i)) := req_ports(i).asBools 269a0301c0dSLemover } 270a0301c0dSLemover } 271a0301c0dSLemover for (i <- ports.indices) { 272a0301c0dSLemover when (v(i)) { 273a0301c0dSLemover ports(i) := ports(i).zip(update_ports(i)).map(a => a._1 || a._2) 2746d5ddbceSLemover } 2756d5ddbceSLemover } 2766d5ddbceSLemover 2776d5ddbceSLemover val do_enq = canEnqueue && Cat(reqs.map(_.valid)).orR 2786d5ddbceSLemover val do_deq = (!v(deqPtr) && !isEmptyDeq) 2792c2c1588SLemover val do_iss = issue_fire_fake || (!v(issPtr) && !isEmptyIss) 2806d5ddbceSLemover when (do_enq) { 2816d5ddbceSLemover enqPtr := enqPtr + enqNum 2826d5ddbceSLemover } 2836d5ddbceSLemover when (do_deq) { 2846d5ddbceSLemover deqPtr := deqPtr + 1.U 2856d5ddbceSLemover } 2866d5ddbceSLemover when (do_iss) { 2876d5ddbceSLemover issPtr := issPtr + 1.U 2886d5ddbceSLemover } 2892c2c1588SLemover when (issue_fire_fake && issue_filtered) { // issued but is filtered 2902c2c1588SLemover v(issPtr) := false.B 2912c2c1588SLemover } 2926d5ddbceSLemover when (do_enq =/= do_deq) { 2936d5ddbceSLemover mayFullDeq := do_enq 2946d5ddbceSLemover } 2956d5ddbceSLemover when (do_enq =/= do_iss) { 2966d5ddbceSLemover mayFullIss := do_enq 2976d5ddbceSLemover } 2986d5ddbceSLemover 299cccfc98dSLemover when (io.ptw.resp.fire()) { 300cccfc98dSLemover v.zip(ptwResp_OldMatchVec).map{ case (vi, mi) => when (mi) { vi := false.B }} 3016d5ddbceSLemover } 3026d5ddbceSLemover 3036d5ddbceSLemover counter := counter - do_deq + Mux(do_enq, enqNum, 0.U) 304fa9f9690SLemover assert(counter <= Size.U, "counter should be no more than Size") 305fa9f9690SLemover assert(inflight_counter <= Size.U, "inflight should be no more than Size") 3066d5ddbceSLemover when (counter === 0.U) { 3076d5ddbceSLemover assert(!io.ptw.req(0).fire(), "when counter is 0, should not req") 3086d5ddbceSLemover assert(isEmptyDeq && isEmptyIss, "when counter is 0, should be empty") 3096d5ddbceSLemover } 3106d5ddbceSLemover when (counter === Size.U) { 3116d5ddbceSLemover assert(mayFullDeq, "when counter is Size, should be full") 3126d5ddbceSLemover } 3136d5ddbceSLemover 31445f497a4Shappy-lx when (flush) { 3156d5ddbceSLemover v.map(_ := false.B) 3166d5ddbceSLemover deqPtr := 0.U 3176d5ddbceSLemover enqPtr := 0.U 3186d5ddbceSLemover issPtr := 0.U 3196d5ddbceSLemover ptwResp_valid := false.B 3206d5ddbceSLemover mayFullDeq := false.B 3216d5ddbceSLemover mayFullIss := false.B 3226d5ddbceSLemover counter := 0.U 323fa9f9690SLemover inflight_counter := 0.U 3246d5ddbceSLemover } 3256d5ddbceSLemover 3266d5ddbceSLemover // perf 3276d5ddbceSLemover XSPerfAccumulate("tlb_req_count", PopCount(Cat(io.tlb.req.map(_.valid)))) 3286d5ddbceSLemover XSPerfAccumulate("tlb_req_count_filtered", Mux(do_enq, accumEnqNum(Width - 1), 0.U)) 3296d5ddbceSLemover XSPerfAccumulate("ptw_req_count", io.ptw.req(0).fire()) 3306d5ddbceSLemover XSPerfAccumulate("ptw_req_cycle", inflight_counter) 3316d5ddbceSLemover XSPerfAccumulate("tlb_resp_count", io.tlb.resp.fire()) 3326d5ddbceSLemover XSPerfAccumulate("ptw_resp_count", io.ptw.resp.fire()) 3336d5ddbceSLemover XSPerfAccumulate("inflight_cycle", !isEmptyDeq) 3346d5ddbceSLemover for (i <- 0 until Size + 1) { 3356d5ddbceSLemover XSPerfAccumulate(s"counter${i}", counter === i.U) 3366d5ddbceSLemover } 3379bd9cdfaSLemover 3389bd9cdfaSLemover for (i <- 0 until Size) { 3399bd9cdfaSLemover TimeOutAssert(v(i), timeOutThreshold, s"Filter ${i} doesn't recv resp in time") 3409bd9cdfaSLemover } 3416d5ddbceSLemover} 34238ba1efdSLemover 34338ba1efdSLemoverobject PTWRepeater { 344f1fe8698SLemover def apply(fenceDelay: Int, 34538ba1efdSLemover tlb: TlbPtwIO, 34638ba1efdSLemover sfence: SfenceBundle, 34738ba1efdSLemover csr: TlbCsrBundle 34838ba1efdSLemover )(implicit p: Parameters) = { 34938ba1efdSLemover val width = tlb.req.size 350f1fe8698SLemover val repeater = Module(new PTWRepeater(width, fenceDelay)) 35135d6335eSZhangZifei repeater.io.apply(tlb, sfence, csr) 35238ba1efdSLemover repeater 35338ba1efdSLemover } 35438ba1efdSLemover 355f1fe8698SLemover def apply(fenceDelay: Int, 35638ba1efdSLemover tlb: TlbPtwIO, 35738ba1efdSLemover ptw: TlbPtwIO, 35838ba1efdSLemover sfence: SfenceBundle, 35938ba1efdSLemover csr: TlbCsrBundle 36038ba1efdSLemover )(implicit p: Parameters) = { 36138ba1efdSLemover val width = tlb.req.size 362f1fe8698SLemover val repeater = Module(new PTWRepeater(width, fenceDelay)) 36335d6335eSZhangZifei repeater.io.apply(tlb, ptw, sfence, csr) 36435d6335eSZhangZifei repeater 36535d6335eSZhangZifei } 36635d6335eSZhangZifei} 36738ba1efdSLemover 36835d6335eSZhangZifeiobject PTWRepeaterNB { 369f1fe8698SLemover def apply(passReady: Boolean, fenceDelay: Int, 37035d6335eSZhangZifei tlb: TlbPtwIO, 37135d6335eSZhangZifei sfence: SfenceBundle, 37235d6335eSZhangZifei csr: TlbCsrBundle 37335d6335eSZhangZifei )(implicit p: Parameters) = { 37435d6335eSZhangZifei val width = tlb.req.size 375f1fe8698SLemover val repeater = Module(new PTWRepeaterNB(width, passReady,fenceDelay)) 37635d6335eSZhangZifei repeater.io.apply(tlb, sfence, csr) 37735d6335eSZhangZifei repeater 37835d6335eSZhangZifei } 37935d6335eSZhangZifei 380f1fe8698SLemover def apply(passReady: Boolean, fenceDelay: Int, 38135d6335eSZhangZifei tlb: TlbPtwIO, 38235d6335eSZhangZifei ptw: TlbPtwIO, 38335d6335eSZhangZifei sfence: SfenceBundle, 38435d6335eSZhangZifei csr: TlbCsrBundle 38535d6335eSZhangZifei )(implicit p: Parameters) = { 38635d6335eSZhangZifei val width = tlb.req.size 387f1fe8698SLemover val repeater = Module(new PTWRepeaterNB(width, passReady, fenceDelay)) 38835d6335eSZhangZifei repeater.io.apply(tlb, ptw, sfence, csr) 38938ba1efdSLemover repeater 39038ba1efdSLemover } 39138ba1efdSLemover} 39238ba1efdSLemover 39338ba1efdSLemoverobject PTWFilter { 394f1fe8698SLemover def apply(fenceDelay: Int, 395f1fe8698SLemover tlb: VectorTlbPtwIO, 39638ba1efdSLemover ptw: TlbPtwIO, 39738ba1efdSLemover sfence: SfenceBundle, 39838ba1efdSLemover csr: TlbCsrBundle, 39938ba1efdSLemover size: Int 40038ba1efdSLemover )(implicit p: Parameters) = { 40138ba1efdSLemover val width = tlb.req.size 402f1fe8698SLemover val filter = Module(new PTWFilter(width, size, fenceDelay)) 40335d6335eSZhangZifei filter.io.apply(tlb, ptw, sfence, csr) 40438ba1efdSLemover filter 40538ba1efdSLemover } 40635d6335eSZhangZifei 407f1fe8698SLemover def apply(fenceDelay: Int, 408f1fe8698SLemover tlb: VectorTlbPtwIO, 40935d6335eSZhangZifei sfence: SfenceBundle, 41035d6335eSZhangZifei csr: TlbCsrBundle, 41135d6335eSZhangZifei size: Int 41235d6335eSZhangZifei )(implicit p: Parameters) = { 41335d6335eSZhangZifei val width = tlb.req.size 414f1fe8698SLemover val filter = Module(new PTWFilter(width, size, fenceDelay)) 41535d6335eSZhangZifei filter.io.apply(tlb, sfence, csr) 41635d6335eSZhangZifei filter 41735d6335eSZhangZifei } 41835d6335eSZhangZifei 41938ba1efdSLemover} 420