16d5ddbceSLemover/*************************************************************************************** 26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 46d5ddbceSLemover* 56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2. 66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at: 86d5ddbceSLemover* http://license.coscl.org.cn/MulanPSL2 96d5ddbceSLemover* 106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 136d5ddbceSLemover* 146d5ddbceSLemover* See the Mulan PSL v2 for more details. 156d5ddbceSLemover***************************************************************************************/ 166d5ddbceSLemover 176d5ddbceSLemoverpackage xiangshan.cache.mmu 186d5ddbceSLemover 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 206d5ddbceSLemoverimport chisel3._ 216d5ddbceSLemoverimport chisel3.util._ 226d5ddbceSLemoverimport xiangshan._ 236d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 246d5ddbceSLemoverimport utils._ 253c02ee8fSwakafaimport utility._ 266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 276d5ddbceSLemoverimport freechips.rocketchip.tilelink._ 286d5ddbceSLemover 2945f497a4Shappy-lxclass PTWReapterIO(Width: Int)(implicit p: Parameters) extends MMUIOBaseBundle { 306d5ddbceSLemover val tlb = Flipped(new TlbPtwIO(Width)) 316d5ddbceSLemover val ptw = new TlbPtwIO 3245f497a4Shappy-lx 3335d6335eSZhangZifei def apply(tlb: TlbPtwIO, ptw: TlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 3435d6335eSZhangZifei this.tlb <> tlb 3535d6335eSZhangZifei this.ptw <> ptw 3635d6335eSZhangZifei this.sfence <> sfence 3735d6335eSZhangZifei this.csr <> csr 3835d6335eSZhangZifei } 3935d6335eSZhangZifei 4035d6335eSZhangZifei def apply(tlb: TlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 4135d6335eSZhangZifei this.tlb <> tlb 4235d6335eSZhangZifei this.sfence <> sfence 4335d6335eSZhangZifei this.csr <> csr 4435d6335eSZhangZifei } 4535d6335eSZhangZifei 4645f497a4Shappy-lx} 4745f497a4Shappy-lx 48f1fe8698SLemoverclass PTWRepeater(Width: Int = 1, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 4945f497a4Shappy-lx val io = IO(new PTWReapterIO(Width)) 5045f497a4Shappy-lx 516d5ddbceSLemover val req_in = if (Width == 1) { 526d5ddbceSLemover io.tlb.req(0) 536d5ddbceSLemover } else { 547be7e781Speixiaokun val arb = Module(new RRArbiterInit(io.tlb.req(0).bits.cloneType, Width)) 556d5ddbceSLemover arb.io.in <> io.tlb.req 566d5ddbceSLemover arb.io.out 576d5ddbceSLemover } 58d0de7e4aSpeixiaokun val (tlb, ptw, flush) = (io.tlb, io.ptw, DelayN(io.sfence.valid || io.csr.satp.changed || io.csr.vsatp.changed || io.csr.hgatp.changed, FenceDelay)) 59935edac4STang Haojin val req = RegEnable(req_in.bits, req_in.fire) 60935edac4STang Haojin val resp = RegEnable(ptw.resp.bits, ptw.resp.fire) 61935edac4STang Haojin val haveOne = BoolStopWatch(req_in.fire, tlb.resp.fire || flush) 62935edac4STang Haojin val sent = BoolStopWatch(ptw.req(0).fire, req_in.fire || flush) 63935edac4STang Haojin val recv = BoolStopWatch(ptw.resp.fire && haveOne, req_in.fire || flush) 646d5ddbceSLemover 656d5ddbceSLemover req_in.ready := !haveOne 666d5ddbceSLemover ptw.req(0).valid := haveOne && !sent 676d5ddbceSLemover ptw.req(0).bits := req 686d5ddbceSLemover 696d5ddbceSLemover tlb.resp.bits := resp 706d5ddbceSLemover tlb.resp.valid := haveOne && recv 716d5ddbceSLemover ptw.resp.ready := !recv 726d5ddbceSLemover 73935edac4STang Haojin XSPerfAccumulate("req_count", ptw.req(0).fire) 74935edac4STang Haojin XSPerfAccumulate("tlb_req_cycle", BoolStopWatch(req_in.fire, tlb.resp.fire || flush)) 75935edac4STang Haojin XSPerfAccumulate("ptw_req_cycle", BoolStopWatch(ptw.req(0).fire, ptw.resp.fire || flush)) 766d5ddbceSLemover 7745f497a4Shappy-lx XSDebug(haveOne, p"haveOne:${haveOne} sent:${sent} recv:${recv} sfence:${flush} req:${req} resp:${resp}") 786d5ddbceSLemover XSDebug(req_in.valid || io.tlb.resp.valid, p"tlb: ${tlb}\n") 796d5ddbceSLemover XSDebug(io.ptw.req(0).valid || io.ptw.resp.valid, p"ptw: ${ptw}\n") 806d5ddbceSLemover assert(!RegNext(recv && io.ptw.resp.valid, init = false.B), "re-receive ptw.resp") 81a8bd30cdSLemover XSError(io.ptw.req(0).valid && io.ptw.resp.valid && !flush, "ptw repeater recv resp when sending") 82cca17e78Speixiaokun XSError(io.ptw.resp.valid && (req.vpn =/= io.ptw.resp.bits.s1.entry.tag), "ptw repeater recv resp with wrong tag") 83a8bd30cdSLemover XSError(io.ptw.resp.valid && !io.ptw.resp.ready, "ptw repeater's ptw resp back, but not ready") 846d5ddbceSLemover} 856d5ddbceSLemover 866d5ddbceSLemover/* dtlb 876d5ddbceSLemover * 886d5ddbceSLemover */ 8935d6335eSZhangZifei 90f1fe8698SLemoverclass PTWRepeaterNB(Width: Int = 1, passReady: Boolean = false, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 9135d6335eSZhangZifei val io = IO(new PTWReapterIO(Width)) 9235d6335eSZhangZifei 9335d6335eSZhangZifei val req_in = if (Width == 1) { 9435d6335eSZhangZifei io.tlb.req(0) 9535d6335eSZhangZifei } else { 967be7e781Speixiaokun val arb = Module(new RRArbiterInit(io.tlb.req(0).bits.cloneType, Width)) 9735d6335eSZhangZifei arb.io.in <> io.tlb.req 9835d6335eSZhangZifei arb.io.out 9935d6335eSZhangZifei } 100910eede8SXuan Hu val (tlb, ptw, flush) = (io.tlb, io.ptw, DelayN(io.sfence.valid || io.csr.satp.changed || io.csr.vsatp.changed || io.csr.hgatp.changed, FenceDelay)) 10135d6335eSZhangZifei /* sent: tlb -> repeater -> ptw 10235d6335eSZhangZifei * recv: ptw -> repeater -> tlb 10335d6335eSZhangZifei * different from PTWRepeater 10435d6335eSZhangZifei */ 10535d6335eSZhangZifei 10635d6335eSZhangZifei // tlb -> repeater -> ptw 107935edac4STang Haojin val req = RegEnable(req_in.bits, req_in.fire) 108935edac4STang Haojin val sent = BoolStopWatch(req_in.fire, ptw.req(0).fire || flush) 10935d6335eSZhangZifei req_in.ready := !sent || { if (passReady) ptw.req(0).ready else false.B } 11035d6335eSZhangZifei ptw.req(0).valid := sent 11135d6335eSZhangZifei ptw.req(0).bits := req 11235d6335eSZhangZifei 11335d6335eSZhangZifei // ptw -> repeater -> tlb 114935edac4STang Haojin val resp = RegEnable(ptw.resp.bits, ptw.resp.fire) 115935edac4STang Haojin val recv = BoolStopWatch(ptw.resp.fire, tlb.resp.fire || flush) 11635d6335eSZhangZifei ptw.resp.ready := !recv || { if (passReady) tlb.resp.ready else false.B } 11735d6335eSZhangZifei tlb.resp.valid := recv 11835d6335eSZhangZifei tlb.resp.bits := resp 11935d6335eSZhangZifei 120935edac4STang Haojin XSPerfAccumulate("req", req_in.fire) 121935edac4STang Haojin XSPerfAccumulate("resp", tlb.resp.fire) 12235d6335eSZhangZifei if (!passReady) { 12335d6335eSZhangZifei XSPerfAccumulate("req_blank", req_in.valid && sent && ptw.req(0).ready) 12435d6335eSZhangZifei XSPerfAccumulate("resp_blank", ptw.resp.valid && recv && tlb.resp.ready) 12535d6335eSZhangZifei XSPerfAccumulate("req_blank_ignore_ready", req_in.valid && sent) 12635d6335eSZhangZifei XSPerfAccumulate("resp_blank_ignore_ready", ptw.resp.valid && recv) 12735d6335eSZhangZifei } 12835d6335eSZhangZifei XSDebug(req_in.valid || io.tlb.resp.valid, p"tlb: ${tlb}\n") 12935d6335eSZhangZifei XSDebug(io.ptw.req(0).valid || io.ptw.resp.valid, p"ptw: ${ptw}\n") 13035d6335eSZhangZifei} 13135d6335eSZhangZifei 132185e6164SHaoyuan Fengclass PTWFilterIO(Width: Int, hasHint: Boolean = false)(implicit p: Parameters) extends MMUIOBaseBundle { 133f1fe8698SLemover val tlb = Flipped(new VectorTlbPtwIO(Width)) 134a0301c0dSLemover val ptw = new TlbPtwIO() 135185e6164SHaoyuan Feng val hint = if (hasHint) Some(new TlbHintIO) else None 136d2b20d1aSTang Haojin val rob_head_miss_in_tlb = Output(Bool()) 13760ebee38STang Haojin val debugTopDown = new Bundle { 13860ebee38STang Haojin val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 13960ebee38STang Haojin } 1406d5ddbceSLemover 141f1fe8698SLemover def apply(tlb: VectorTlbPtwIO, ptw: TlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 14235d6335eSZhangZifei this.tlb <> tlb 14335d6335eSZhangZifei this.ptw <> ptw 14435d6335eSZhangZifei this.sfence <> sfence 14535d6335eSZhangZifei this.csr <> csr 14635d6335eSZhangZifei } 14735d6335eSZhangZifei 148f1fe8698SLemover def apply(tlb: VectorTlbPtwIO, sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 14935d6335eSZhangZifei this.tlb <> tlb 15035d6335eSZhangZifei this.sfence <> sfence 15135d6335eSZhangZifei this.csr <> csr 15235d6335eSZhangZifei } 15335d6335eSZhangZifei 15445f497a4Shappy-lx} 15545f497a4Shappy-lx 156185e6164SHaoyuan Fengclass PTWFilterEntryIO(Width: Int, hasHint: Boolean = false)(implicit p: Parameters) extends PTWFilterIO(Width, hasHint){ 157185e6164SHaoyuan Feng val flush = Input(Bool()) 158185e6164SHaoyuan Feng val refill = Output(Bool()) 159a4f9c77fSpeixiaokun val getGpa = Output(Bool()) 160185e6164SHaoyuan Feng val memidx = Output(new MemBlockidxBundle) 161185e6164SHaoyuan Feng} 162185e6164SHaoyuan Feng 163185e6164SHaoyuan Fengclass PTWFilterEntry(Width: Int, Size: Int, hasHint: Boolean = false)(implicit p: Parameters) extends XSModule with HasPtwConst { 16471489510SXuan Hu private val LdExuCnt = backendParams.LdExuCnt 165185e6164SHaoyuan Feng 166185e6164SHaoyuan Feng val io = IO(new PTWFilterEntryIO(Width, hasHint)) 167185e6164SHaoyuan Feng require(isPow2(Size), s"Filter Size ($Size) must be a power of 2") 168185e6164SHaoyuan Feng 169185e6164SHaoyuan Feng def firstValidIndex(v: Seq[Bool], valid: Bool): UInt = { 170185e6164SHaoyuan Feng val index = WireInit(0.U(log2Up(Size).W)) 171185e6164SHaoyuan Feng for (i <- 0 until v.size) { 172185e6164SHaoyuan Feng when (v(i) === valid) { 173185e6164SHaoyuan Feng index := i.U 174185e6164SHaoyuan Feng } 175185e6164SHaoyuan Feng } 176185e6164SHaoyuan Feng index 177185e6164SHaoyuan Feng } 178185e6164SHaoyuan Feng 179185e6164SHaoyuan Feng val v = RegInit(VecInit(Seq.fill(Size)(false.B))) 180185e6164SHaoyuan Feng val sent = RegInit(VecInit(Seq.fill(Size)(false.B))) 181185e6164SHaoyuan Feng val vpn = Reg(Vec(Size, UInt(vpnLen.W))) 1824c4af37cSpeixiaokun val s2xlate = Reg(Vec(Size, UInt(2.W))) 183a4f9c77fSpeixiaokun val getGpa = Reg(Vec(Size, Bool())) 184185e6164SHaoyuan Feng val memidx = Reg(Vec(Size, new MemBlockidxBundle)) 185185e6164SHaoyuan Feng 186185e6164SHaoyuan Feng val enqvalid = WireInit(VecInit(Seq.fill(Width)(false.B))) 187185e6164SHaoyuan Feng val canenq = WireInit(VecInit(Seq.fill(Width)(false.B))) 188185e6164SHaoyuan Feng val enqidx = WireInit(VecInit(Seq.fill(Width)(0.U(log2Up(Size).W)))) 189185e6164SHaoyuan Feng 190185e6164SHaoyuan Feng //val selectCount = RegInit(0.U(log2Up(Width).W)) 191185e6164SHaoyuan Feng 192185e6164SHaoyuan Feng val entryIsMatchVec = WireInit(VecInit(Seq.fill(Width)(false.B))) 193185e6164SHaoyuan Feng val entryMatchIndexVec = WireInit(VecInit(Seq.fill(Width)(0.U(log2Up(Size).W)))) 1942b221cabSXiaokun-Pei val ptwResp_EntryMatchVec = vpn.zip(v).zip(s2xlate).map{ case ((pi, vi), s2xlatei) => vi && s2xlatei === io.ptw.resp.bits.s2xlate && io.ptw.resp.bits.hit(pi, io.csr.satp.asid, io.csr.vsatp.asid, io.csr.hgatp.vmid, true)} 195185e6164SHaoyuan Feng val ptwResp_EntryMatchFirst = firstValidIndex(ptwResp_EntryMatchVec, true.B) 1962b221cabSXiaokun-Pei val ptwResp_ReqMatchVec = io.tlb.req.map(a => io.ptw.resp.valid && a.bits.s2xlate === io.ptw.resp.bits.s2xlate && io.ptw.resp.bits.hit(a.bits.vpn, io.csr.satp.asid, io.csr.vsatp.asid, io.csr.hgatp.vmid, true)) 197185e6164SHaoyuan Feng 198185e6164SHaoyuan Feng io.refill := Cat(ptwResp_EntryMatchVec).orR && io.ptw.resp.fire 199185e6164SHaoyuan Feng io.ptw.resp.ready := true.B 200185e6164SHaoyuan Feng // DontCare 201185e6164SHaoyuan Feng io.tlb.req.map(_.ready := true.B) 202185e6164SHaoyuan Feng io.tlb.resp.valid := false.B 2034c4af37cSpeixiaokun io.tlb.resp.bits.data := 0.U.asTypeOf(new PtwRespS2withMemIdx) 204185e6164SHaoyuan Feng io.tlb.resp.bits.vector := 0.U.asTypeOf(Vec(Width, Bool())) 205a4f9c77fSpeixiaokun io.tlb.resp.bits.getGpa := 0.U.asTypeOf(Vec(Width, Bool())) 206185e6164SHaoyuan Feng io.memidx := 0.U.asTypeOf(new MemBlockidxBundle) 207a4f9c77fSpeixiaokun io.getGpa := 0.U 208185e6164SHaoyuan Feng 209185e6164SHaoyuan Feng // ugly code, should be optimized later 210ae970023SXuan Hu require(Width <= 4, s"DTLB Filter Width ($Width) must equal or less than 4") 211185e6164SHaoyuan Feng if (Width == 1) { 212185e6164SHaoyuan Feng require(Size == 8, s"prefetch filter Size ($Size) should be 8") 213185e6164SHaoyuan Feng canenq(0) := !(Cat(v).andR) 214185e6164SHaoyuan Feng enqidx(0) := firstValidIndex(v, false.B) 215185e6164SHaoyuan Feng } else if (Width == 2) { 216185e6164SHaoyuan Feng require(Size == 8, s"store filter Size ($Size) should be 8") 217185e6164SHaoyuan Feng canenq(0) := !(Cat(v.take(Size/2)).andR) 218185e6164SHaoyuan Feng enqidx(0) := firstValidIndex(v.take(Size/2), false.B) 219185e6164SHaoyuan Feng canenq(1) := !(Cat(v.drop(Size/2)).andR) 220185e6164SHaoyuan Feng enqidx(1) := firstValidIndex(v.drop(Size/2), false.B) + (Size/2).U 221185e6164SHaoyuan Feng } else if (Width == 3) { 222185e6164SHaoyuan Feng require(Size == 16, s"load filter Size ($Size) should be 16") 223185e6164SHaoyuan Feng canenq(0) := !(Cat(v.take(8)).andR) 224185e6164SHaoyuan Feng enqidx(0) := firstValidIndex(v.take(8), false.B) 225185e6164SHaoyuan Feng canenq(1) := !(Cat(v.drop(8).take(4)).andR) 226185e6164SHaoyuan Feng enqidx(1) := firstValidIndex(v.drop(8).take(4), false.B) + 8.U 227185e6164SHaoyuan Feng // four entries for prefetch 228185e6164SHaoyuan Feng canenq(2) := !(Cat(v.drop(12)).andR) 229185e6164SHaoyuan Feng enqidx(2) := firstValidIndex(v.drop(12), false.B) + 12.U 230ae970023SXuan Hu } else if (Width == 4) { 231ae970023SXuan Hu require(Size == 16, s"load filter Size ($Size) should be 16") 232ae970023SXuan Hu for (i <- 0 until Width) { 233ae970023SXuan Hu canenq(i) := !(Cat(VecInit(v.slice(i * 4, (i + 1) * 4))).andR) 234ae970023SXuan Hu enqidx(i) := firstValidIndex(v.slice(i * 4, (i + 1) * 4), false.B) + (i * 4).U 235ae970023SXuan Hu } 236185e6164SHaoyuan Feng } 237185e6164SHaoyuan Feng 238185e6164SHaoyuan Feng for (i <- 0 until Width) { 239185e6164SHaoyuan Feng enqvalid(i) := io.tlb.req(i).valid && !ptwResp_ReqMatchVec(i) && !entryIsMatchVec(i) && canenq(i) 240185e6164SHaoyuan Feng when (!enqvalid(i)) { 241185e6164SHaoyuan Feng enqidx(i) := entryMatchIndexVec(i) 242185e6164SHaoyuan Feng } 243185e6164SHaoyuan Feng 2444c4af37cSpeixiaokun val entryIsMatch = vpn.zip(v).zip(s2xlate).map{ case ((pi, vi), s2xlatei) => vi && s2xlatei === io.tlb.req(i).bits.s2xlate && pi === io.tlb.req(i).bits.vpn} 245185e6164SHaoyuan Feng entryIsMatchVec(i) := Cat(entryIsMatch).orR 246185e6164SHaoyuan Feng entryMatchIndexVec(i) := firstValidIndex(entryIsMatch, true.B) 247185e6164SHaoyuan Feng 248185e6164SHaoyuan Feng if (i > 0) { 249185e6164SHaoyuan Feng for (j <- 0 until i) { 2504c4af37cSpeixiaokun val newIsMatch = io.tlb.req(i).bits.vpn === io.tlb.req(j).bits.vpn && io.tlb.req(i).bits.s2xlate === io.tlb.req(j).bits.s2xlate 251185e6164SHaoyuan Feng when (newIsMatch && io.tlb.req(j).valid) { 252185e6164SHaoyuan Feng enqidx(i) := enqidx(j) 253185e6164SHaoyuan Feng canenq(i) := canenq(j) 254185e6164SHaoyuan Feng enqvalid(i) := false.B 255185e6164SHaoyuan Feng } 256185e6164SHaoyuan Feng } 257185e6164SHaoyuan Feng } 258185e6164SHaoyuan Feng 259185e6164SHaoyuan Feng when (enqvalid(i)) { 260185e6164SHaoyuan Feng v(enqidx(i)) := true.B 261185e6164SHaoyuan Feng sent(enqidx(i)) := false.B 262185e6164SHaoyuan Feng vpn(enqidx(i)) := io.tlb.req(i).bits.vpn 2634c4af37cSpeixiaokun s2xlate(enqidx(i)) := io.tlb.req(i).bits.s2xlate 264a4f9c77fSpeixiaokun getGpa(enqidx(i)) := io.tlb.req(i).bits.getGpa 265185e6164SHaoyuan Feng memidx(enqidx(i)) := io.tlb.req(i).bits.memidx 266185e6164SHaoyuan Feng } 267185e6164SHaoyuan Feng } 268185e6164SHaoyuan Feng 269185e6164SHaoyuan Feng val issuevec = v.zip(sent).map{ case (v, s) => v && !s} 270185e6164SHaoyuan Feng val issueindex = firstValidIndex(issuevec, true.B) 271185e6164SHaoyuan Feng val canissue = Cat(issuevec).orR 272185e6164SHaoyuan Feng for (i <- 0 until Size) { 273185e6164SHaoyuan Feng io.ptw.req(0).valid := canissue 274185e6164SHaoyuan Feng io.ptw.req(0).bits.vpn := vpn(issueindex) 2754c4af37cSpeixiaokun io.ptw.req(0).bits.s2xlate := s2xlate(issueindex) 276185e6164SHaoyuan Feng } 277185e6164SHaoyuan Feng when (io.ptw.req(0).fire) { 278185e6164SHaoyuan Feng sent(issueindex) := true.B 279185e6164SHaoyuan Feng } 280185e6164SHaoyuan Feng 281185e6164SHaoyuan Feng when (io.ptw.resp.fire) { 282185e6164SHaoyuan Feng v.zip(ptwResp_EntryMatchVec).map{ case (vi, mi) => when (mi) { vi := false.B }} 283185e6164SHaoyuan Feng io.memidx := memidx(ptwResp_EntryMatchFirst) 284a4f9c77fSpeixiaokun io.getGpa := getGpa(ptwResp_EntryMatchFirst) 285185e6164SHaoyuan Feng } 286185e6164SHaoyuan Feng 287185e6164SHaoyuan Feng when (io.flush) { 288185e6164SHaoyuan Feng v.map(_ := false.B) 289185e6164SHaoyuan Feng } 290185e6164SHaoyuan Feng 291185e6164SHaoyuan Feng if (hasHint) { 292185e6164SHaoyuan Feng val hintIO = io.hint.getOrElse(new TlbHintIO) 29371489510SXuan Hu for (i <- 0 until LdExuCnt) { 294185e6164SHaoyuan Feng hintIO.req(i).id := enqidx(i) 295185e6164SHaoyuan Feng hintIO.req(i).full := !canenq(i) || ptwResp_ReqMatchVec(i) 296185e6164SHaoyuan Feng } 297185e6164SHaoyuan Feng hintIO.resp.valid := io.refill 298185e6164SHaoyuan Feng hintIO.resp.bits.id := ptwResp_EntryMatchFirst 299185e6164SHaoyuan Feng hintIO.resp.bits.replay_all := PopCount(ptwResp_EntryMatchVec) > 1.U 300185e6164SHaoyuan Feng } 301185e6164SHaoyuan Feng 302185e6164SHaoyuan Feng io.rob_head_miss_in_tlb := VecInit(v.zip(vpn).map{case (vi, vpni) => { 303185e6164SHaoyuan Feng vi && io.debugTopDown.robHeadVaddr.valid && vpni === get_pn(io.debugTopDown.robHeadVaddr.bits) 304185e6164SHaoyuan Feng }}).asUInt.orR 305185e6164SHaoyuan Feng 306185e6164SHaoyuan Feng 307185e6164SHaoyuan Feng // Perf Counter 308185e6164SHaoyuan Feng val counter = PopCount(v) 309185e6164SHaoyuan Feng val inflight_counter = RegInit(0.U(log2Up(Size).W)) 310185e6164SHaoyuan Feng val inflight_full = inflight_counter === Size.U 311185e6164SHaoyuan Feng when (io.ptw.req(0).fire =/= io.ptw.resp.fire) { 312185e6164SHaoyuan Feng inflight_counter := Mux(io.ptw.req(0).fire, inflight_counter + 1.U, inflight_counter - 1.U) 313185e6164SHaoyuan Feng } 314185e6164SHaoyuan Feng 315185e6164SHaoyuan Feng assert(inflight_counter <= Size.U, "inflight should be no more than Size") 316185e6164SHaoyuan Feng when (counter === 0.U) { 317185e6164SHaoyuan Feng assert(!io.ptw.req(0).fire, "when counter is 0, should not req") 318185e6164SHaoyuan Feng } 319185e6164SHaoyuan Feng 320185e6164SHaoyuan Feng when (io.flush) { 321185e6164SHaoyuan Feng inflight_counter := 0.U 322185e6164SHaoyuan Feng } 323185e6164SHaoyuan Feng 324185e6164SHaoyuan Feng XSPerfAccumulate("tlb_req_count", PopCount(Cat(io.tlb.req.map(_.valid)))) 325185e6164SHaoyuan Feng XSPerfAccumulate("tlb_req_count_filtered", PopCount(enqvalid)) 326185e6164SHaoyuan Feng XSPerfAccumulate("ptw_req_count", io.ptw.req(0).fire) 327185e6164SHaoyuan Feng XSPerfAccumulate("ptw_req_cycle", inflight_counter) 328185e6164SHaoyuan Feng XSPerfAccumulate("tlb_resp_count", io.tlb.resp.fire) 329185e6164SHaoyuan Feng XSPerfAccumulate("ptw_resp_count", io.ptw.resp.fire) 330185e6164SHaoyuan Feng XSPerfAccumulate("inflight_cycle", Cat(sent).orR) 331185e6164SHaoyuan Feng 332185e6164SHaoyuan Feng for (i <- 0 until Size + 1) { 333185e6164SHaoyuan Feng XSPerfAccumulate(s"counter${i}", counter === i.U) 334185e6164SHaoyuan Feng } 335185e6164SHaoyuan Feng 336185e6164SHaoyuan Feng} 337185e6164SHaoyuan Feng 338185e6164SHaoyuan Fengclass PTWNewFilter(Width: Int, Size: Int, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 339185e6164SHaoyuan Feng require(Size >= Width) 340185e6164SHaoyuan Feng 3418ef35e01SXuan Hu private val LduCnt = backendParams.LduCnt 3428ef35e01SXuan Hu private val HyuCnt = backendParams.HyuCnt 3438ef35e01SXuan Hu private val StaCnt = backendParams.StaCnt 3448ef35e01SXuan Hu // all load execute units, including ldu and hyu 34571489510SXuan Hu private val LdExuCnt = backendParams.LdExuCnt 3468ef35e01SXuan Hu // all store address execute units, including sta and hyu 34771489510SXuan Hu private val StaExuCnt = backendParams.StaExuCnt 34871489510SXuan Hu 349185e6164SHaoyuan Feng val io = IO(new PTWFilterIO(Width, hasHint = true)) 350185e6164SHaoyuan Feng 351185e6164SHaoyuan Feng val load_filter = VecInit(Seq.fill(1) { 35271489510SXuan Hu val load_entry = Module(new PTWFilterEntry(Width = LdExuCnt + 1, Size = loadfiltersize, hasHint = true)) 353185e6164SHaoyuan Feng load_entry.io 354185e6164SHaoyuan Feng }) 355185e6164SHaoyuan Feng 356185e6164SHaoyuan Feng val store_filter = VecInit(Seq.fill(1) { 3578ef35e01SXuan Hu val store_entry = Module(new PTWFilterEntry(Width = StaCnt, Size = storefiltersize)) 358185e6164SHaoyuan Feng store_entry.io 359185e6164SHaoyuan Feng }) 360185e6164SHaoyuan Feng 361185e6164SHaoyuan Feng val prefetch_filter = VecInit(Seq.fill(1) { 362aee6a6d1SYanqin Li val prefetch_entry = Module(new PTWFilterEntry(Width = 2, Size = prefetchfiltersize)) 363185e6164SHaoyuan Feng prefetch_entry.io 364185e6164SHaoyuan Feng }) 365185e6164SHaoyuan Feng 366185e6164SHaoyuan Feng val filter = load_filter ++ store_filter ++ prefetch_filter 367185e6164SHaoyuan Feng 36871489510SXuan Hu load_filter.map(_.tlb.req := io.tlb.req.take(LdExuCnt + 1)) 3698ef35e01SXuan Hu store_filter.map(_.tlb.req := io.tlb.req.drop(LdExuCnt + 1).take(StaCnt)) 3708ef35e01SXuan Hu prefetch_filter.map(_.tlb.req := io.tlb.req.drop(LdExuCnt + 1 + StaCnt)) 371185e6164SHaoyuan Feng 372b188e334Speixiaokun val flush = DelayN(io.sfence.valid || io.csr.satp.changed || (io.csr.priv.virt && io.csr.vsatp.changed), FenceDelay) 373185e6164SHaoyuan Feng val ptwResp = RegEnable(io.ptw.resp.bits, io.ptw.resp.fire) 374185e6164SHaoyuan Feng val ptwResp_valid = Cat(filter.map(_.refill)).orR 375185e6164SHaoyuan Feng filter.map(_.tlb.resp.ready := true.B) 3765adc4829SYanqin Li filter.map(_.ptw.resp.valid := GatedValidRegNext(io.ptw.resp.fire, init = false.B)) 377185e6164SHaoyuan Feng filter.map(_.ptw.resp.bits := ptwResp) 378185e6164SHaoyuan Feng filter.map(_.flush := flush) 379185e6164SHaoyuan Feng filter.map(_.sfence := io.sfence) 380185e6164SHaoyuan Feng filter.map(_.csr := io.csr) 381185e6164SHaoyuan Feng filter.map(_.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr) 382185e6164SHaoyuan Feng 383185e6164SHaoyuan Feng io.tlb.req.map(_.ready := true.B) 384185e6164SHaoyuan Feng io.tlb.resp.valid := ptwResp_valid 3854c4af37cSpeixiaokun io.tlb.resp.bits.data.s2xlate := ptwResp.s2xlate 386a4f9c77fSpeixiaokun io.tlb.resp.bits.data.getGpa := DontCare // not used 3874c4af37cSpeixiaokun io.tlb.resp.bits.data.s1 := ptwResp.s1 3884c4af37cSpeixiaokun io.tlb.resp.bits.data.s2 := ptwResp.s2 389185e6164SHaoyuan Feng io.tlb.resp.bits.data.memidx := 0.U.asTypeOf(new MemBlockidxBundle) 390185e6164SHaoyuan Feng // vector used to represent different requestors of DTLB 391185e6164SHaoyuan Feng // (e.g. the store DTLB has StuCnt requestors) 392185e6164SHaoyuan Feng // However, it is only necessary to distinguish between different DTLB now 393185e6164SHaoyuan Feng for (i <- 0 until Width) { 394185e6164SHaoyuan Feng io.tlb.resp.bits.vector(i) := false.B 395a4f9c77fSpeixiaokun io.tlb.resp.bits.getGpa(i) := false.B 396185e6164SHaoyuan Feng } 397185e6164SHaoyuan Feng io.tlb.resp.bits.vector(0) := load_filter(0).refill 39871489510SXuan Hu io.tlb.resp.bits.vector(LdExuCnt + 1) := store_filter(0).refill 3998ef35e01SXuan Hu io.tlb.resp.bits.vector(LdExuCnt + 1 + StaCnt) := prefetch_filter(0).refill 400a4f9c77fSpeixiaokun io.tlb.resp.bits.getGpa(0) := load_filter(0).getGpa 401e25e4d90SXuan Hu io.tlb.resp.bits.getGpa(LdExuCnt + 1) := store_filter(0).getGpa 402e25e4d90SXuan Hu io.tlb.resp.bits.getGpa(LdExuCnt + 1 + StaCnt) := prefetch_filter(0).getGpa 403185e6164SHaoyuan Feng 404185e6164SHaoyuan Feng val hintIO = io.hint.getOrElse(new TlbHintIO) 405185e6164SHaoyuan Feng val load_hintIO = load_filter(0).hint.getOrElse(new TlbHintIO) 40671489510SXuan Hu for (i <- 0 until LdExuCnt) { 407185e6164SHaoyuan Feng hintIO.req(i) := RegNext(load_hintIO.req(i)) 408185e6164SHaoyuan Feng } 4095adc4829SYanqin Li hintIO.resp.valid := RegNext(load_hintIO.resp.valid) 4105adc4829SYanqin Li hintIO.resp.bits := RegEnable(load_hintIO.resp.bits, load_hintIO.resp.valid) 411185e6164SHaoyuan Feng 412185e6164SHaoyuan Feng when (load_filter(0).refill) { 413185e6164SHaoyuan Feng io.tlb.resp.bits.vector(0) := true.B 414185e6164SHaoyuan Feng io.tlb.resp.bits.data.memidx := load_filter(0).memidx 415185e6164SHaoyuan Feng } 416185e6164SHaoyuan Feng when (store_filter(0).refill) { 41771489510SXuan Hu io.tlb.resp.bits.vector(LdExuCnt + 1) := true.B 418185e6164SHaoyuan Feng io.tlb.resp.bits.data.memidx := store_filter(0).memidx 419185e6164SHaoyuan Feng } 420185e6164SHaoyuan Feng when (prefetch_filter(0).refill) { 4218ef35e01SXuan Hu io.tlb.resp.bits.vector(LdExuCnt + 1 + StaCnt) := true.B 422185e6164SHaoyuan Feng io.tlb.resp.bits.data.memidx := 0.U.asTypeOf(new MemBlockidxBundle) 423185e6164SHaoyuan Feng } 424185e6164SHaoyuan Feng 425185e6164SHaoyuan Feng val ptw_arb = Module(new RRArbiterInit(new PtwReq, 3)) 426185e6164SHaoyuan Feng for (i <- 0 until 3) { 427185e6164SHaoyuan Feng ptw_arb.io.in(i).valid := filter(i).ptw.req(0).valid 428185e6164SHaoyuan Feng ptw_arb.io.in(i).bits.vpn := filter(i).ptw.req(0).bits.vpn 4294c4af37cSpeixiaokun ptw_arb.io.in(i).bits.s2xlate := filter(i).ptw.req(0).bits.s2xlate 430185e6164SHaoyuan Feng filter(i).ptw.req(0).ready := ptw_arb.io.in(i).ready 431185e6164SHaoyuan Feng } 432185e6164SHaoyuan Feng ptw_arb.io.out.ready := io.ptw.req(0).ready 433185e6164SHaoyuan Feng io.ptw.req(0).valid := ptw_arb.io.out.valid 434185e6164SHaoyuan Feng io.ptw.req(0).bits.vpn := ptw_arb.io.out.bits.vpn 4354c4af37cSpeixiaokun io.ptw.req(0).bits.s2xlate := ptw_arb.io.out.bits.s2xlate 436185e6164SHaoyuan Feng io.ptw.resp.ready := true.B 437185e6164SHaoyuan Feng 438185e6164SHaoyuan Feng io.rob_head_miss_in_tlb := Cat(filter.map(_.rob_head_miss_in_tlb)).orR 439185e6164SHaoyuan Feng} 440185e6164SHaoyuan Feng 441f1fe8698SLemoverclass PTWFilter(Width: Int, Size: Int, FenceDelay: Int)(implicit p: Parameters) extends XSModule with HasPtwConst { 4426d5ddbceSLemover require(Size >= Width) 4436d5ddbceSLemover 44445f497a4Shappy-lx val io = IO(new PTWFilterIO(Width)) 44545f497a4Shappy-lx 4466d5ddbceSLemover val v = RegInit(VecInit(Seq.fill(Size)(false.B))) 447a0301c0dSLemover val ports = Reg(Vec(Size, Vec(Width, Bool()))) // record which port(s) the entry come from, may not able to cover all the ports 4486d5ddbceSLemover val vpn = Reg(Vec(Size, UInt(vpnLen.W))) 449d0de7e4aSpeixiaokun val s2xlate = Reg(Vec(Size, UInt(2.W))) 450a4f9c77fSpeixiaokun val getGpa = Reg(Vec(Size, Bool())) 4518744445eSMaxpicca-Li val memidx = Reg(Vec(Size, new MemBlockidxBundle)) 4526d5ddbceSLemover val enqPtr = RegInit(0.U(log2Up(Size).W)) // Enq 4536d5ddbceSLemover val issPtr = RegInit(0.U(log2Up(Size).W)) // Iss to Ptw 4546d5ddbceSLemover val deqPtr = RegInit(0.U(log2Up(Size).W)) // Deq 4556d5ddbceSLemover val mayFullDeq = RegInit(false.B) 4566d5ddbceSLemover val mayFullIss = RegInit(false.B) 4576d5ddbceSLemover val counter = RegInit(0.U(log2Up(Size+1).W)) 458910eede8SXuan Hu val flush = DelayN(io.sfence.valid || io.csr.satp.changed || io.csr.vsatp.changed || io.csr.hgatp.changed, FenceDelay) 459f1fe8698SLemover val tlb_req = WireInit(io.tlb.req) // NOTE: tlb_req is not io.tlb.req, see below codes, just use cloneType 460cccfc98dSLemover tlb_req.suggestName("tlb_req") 461cccfc98dSLemover 462fa9f9690SLemover val inflight_counter = RegInit(0.U(log2Up(Size + 1).W)) 463fa9f9690SLemover val inflight_full = inflight_counter === Size.U 464d0de7e4aSpeixiaokun 465d0de7e4aSpeixiaokun def ptwResp_hit(vpn: UInt, s2xlate: UInt, resp: PtwRespS2): Bool = { 4662b221cabSXiaokun-Pei s2xlate === resp.s2xlate && resp.hit(vpn, io.csr.satp.asid, io.csr.vsatp.asid, io.csr.hgatp.vmid, true) 467d0de7e4aSpeixiaokun } 468d0de7e4aSpeixiaokun 469935edac4STang Haojin when (io.ptw.req(0).fire =/= io.ptw.resp.fire) { 470935edac4STang Haojin inflight_counter := Mux(io.ptw.req(0).fire, inflight_counter + 1.U, inflight_counter - 1.U) 471fa9f9690SLemover } 472fa9f9690SLemover 47387f41827SLemover val canEnqueue = Wire(Bool()) // NOTE: actually enqueue 474935edac4STang Haojin val ptwResp = RegEnable(io.ptw.resp.bits, io.ptw.resp.fire) 475d0de7e4aSpeixiaokun val ptwResp_OldMatchVec = vpn.zip(v).zip(s2xlate).map { case (((vpn, v), s2xlate)) =>{ 4766f487a5dSpeixiaokun v && ptwResp_hit(vpn, s2xlate, io.ptw.resp.bits) 477d0de7e4aSpeixiaokun } 478d0de7e4aSpeixiaokun } 4795adc4829SYanqin Li val ptwResp_valid = GatedValidRegNext(io.ptw.resp.fire && Cat(ptwResp_OldMatchVec).orR, init = false.B) 48063632028SHaoyuan Feng // May send repeated requests to L2 tlb with same vpn(26, 3) when sector tlb 481d0de7e4aSpeixiaokun val oldMatchVec_early = io.tlb.req.map(a => vpn.zip(v).zip(s2xlate).map{ case ((pi, vi), s2xlate) => vi && pi === a.bits.vpn && s2xlate === a.bits.s2xlate }) 482d0de7e4aSpeixiaokun val lastReqMatchVec_early = io.tlb.req.map(a => tlb_req.map{ b => b.valid && b.bits.vpn === a.bits.vpn && canEnqueue && b.bits.s2xlate === a.bits.s2xlate}) 483d0de7e4aSpeixiaokun val newMatchVec_early = io.tlb.req.map(a => io.tlb.req.map(b => a.bits.vpn === b.bits.vpn && a.bits.s2xlate === b.bits.s2xlate)) 484cccfc98dSLemover 485cccfc98dSLemover (0 until Width) foreach { i => 4865adc4829SYanqin Li tlb_req(i).valid := GatedValidRegNext(io.tlb.req(i).valid && 487d0de7e4aSpeixiaokun !(ptwResp_valid && ptwResp_hit(io.tlb.req(i).bits.vpn, io.tlb.req(i).bits.s2xlate, ptwResp)) && 488cccfc98dSLemover !Cat(lastReqMatchVec_early(i)).orR, 489cccfc98dSLemover init = false.B) 490cccfc98dSLemover tlb_req(i).bits := RegEnable(io.tlb.req(i).bits, io.tlb.req(i).valid) 491cccfc98dSLemover } 492cccfc98dSLemover 4935adc4829SYanqin Li 4945adc4829SYanqin Li val oldMatchVec = oldMatchVec_early.map(a => GatedValidRegNext(Cat(a).orR)) 495cccfc98dSLemover val newMatchVec = (0 until Width).map(i => (0 until Width).map(j => 4965adc4829SYanqin Li GatedValidRegNext(newMatchVec_early(i)(j)) && tlb_req(j).valid 497cccfc98dSLemover )) 498cccfc98dSLemover val ptwResp_newMatchVec = tlb_req.map(a => 499d0de7e4aSpeixiaokun ptwResp_valid && ptwResp_hit(a.bits.vpn, a.bits.s2xlate, ptwResp)) 500cccfc98dSLemover 5015adc4829SYanqin Li val oldMatchVec2 = (0 until Width).map(i => oldMatchVec_early(i).map(GatedValidRegNext(_)).map(_ & tlb_req(i).valid)) 502cccfc98dSLemover val update_ports = v.indices.map(i => oldMatchVec2.map(j => j(i))) 503a0301c0dSLemover val ports_init = (0 until Width).map(i => (1 << i).U(Width.W)) 504a0301c0dSLemover val filter_ports = (0 until Width).map(i => ParallelMux(newMatchVec(i).zip(ports_init).drop(i))) 505935edac4STang Haojin val resp_vector = RegEnable(ParallelMux(ptwResp_OldMatchVec zip ports), io.ptw.resp.fire) 506a4f9c77fSpeixiaokun val resp_getGpa = RegEnable(ParallelMux(ptwResp_OldMatchVec zip getGpa), io.ptw.resp.fire) 5076d5ddbceSLemover 508a0301c0dSLemover def canMerge(index: Int) : Bool = { 509cccfc98dSLemover ptwResp_newMatchVec(index) || oldMatchVec(index) || 510a0301c0dSLemover Cat(newMatchVec(index).take(index)).orR 511a0301c0dSLemover } 512a0301c0dSLemover 513a0301c0dSLemover def filter_req() = { 514a0301c0dSLemover val reqs = tlb_req.indices.map{ i => 5158744445eSMaxpicca-Li val req = Wire(ValidIO(new PtwReqwithMemIdx())) 516a0301c0dSLemover val merge = canMerge(i) 517a0301c0dSLemover req.bits := tlb_req(i).bits 518a0301c0dSLemover req.valid := !merge && tlb_req(i).valid 519a0301c0dSLemover req 520a0301c0dSLemover } 521a0301c0dSLemover reqs 522a0301c0dSLemover } 523a0301c0dSLemover 524a0301c0dSLemover val reqs = filter_req() 525a0301c0dSLemover val req_ports = filter_ports 5266d5ddbceSLemover val isFull = enqPtr === deqPtr && mayFullDeq 5276d5ddbceSLemover val isEmptyDeq = enqPtr === deqPtr && !mayFullDeq 5286d5ddbceSLemover val isEmptyIss = enqPtr === issPtr && !mayFullIss 5296d5ddbceSLemover val accumEnqNum = (0 until Width).map(i => PopCount(reqs.take(i).map(_.valid))) 530cccfc98dSLemover val enqPtrVecInit = VecInit((0 until Width).map(i => enqPtr + i.U)) 531cccfc98dSLemover val enqPtrVec = VecInit((0 until Width).map(i => enqPtrVecInit(accumEnqNum(i)))) 5326d5ddbceSLemover val enqNum = PopCount(reqs.map(_.valid)) 53387f41827SLemover canEnqueue := counter +& enqNum <= Size.U 5346d5ddbceSLemover 535f1fe8698SLemover // the req may recv false ready, but actually received. Filter and TLB will handle it. 536f1fe8698SLemover val enqNum_fake = PopCount(io.tlb.req.map(_.valid)) 537f1fe8698SLemover val canEnqueue_fake = counter +& enqNum_fake <= Size.U 538f1fe8698SLemover io.tlb.req.map(_.ready := canEnqueue_fake) // NOTE: just drop un-fire reqs 539f1fe8698SLemover 5400ab9ba15SLemover // tlb req flushed by ptw resp: last ptw resp && current ptw resp 5410ab9ba15SLemover // the flushed tlb req will fakely enq, with a false valid 542d0de7e4aSpeixiaokun val tlb_req_flushed = reqs.map(a => io.ptw.resp.valid && ptwResp_hit(a.bits.vpn, a.bits.s2xlate, io.ptw.resp.bits)) 5430ab9ba15SLemover 544cccfc98dSLemover io.tlb.resp.valid := ptwResp_valid 54550c7aa78Speixiaokun io.tlb.resp.bits.data.s2xlate := ptwResp.s2xlate 54650c7aa78Speixiaokun io.tlb.resp.bits.data.s1 := ptwResp.s1 54750c7aa78Speixiaokun io.tlb.resp.bits.data.s2 := ptwResp.s2 548*e9cac669SHaoyuan Feng io.tlb.resp.bits.data.memidx := RegNext(PriorityMux(ptwResp_OldMatchVec, memidx)) 549a0301c0dSLemover io.tlb.resp.bits.vector := resp_vector 550*e9cac669SHaoyuan Feng io.tlb.resp.bits.data.getGpa := RegNext(PriorityMux(ptwResp_OldMatchVec, getGpa)) 551a4f9c77fSpeixiaokun io.tlb.resp.bits.getGpa := DontCare 5522c2c1588SLemover 553fa9f9690SLemover val issue_valid = v(issPtr) && !isEmptyIss && !inflight_full 554d0de7e4aSpeixiaokun val issue_filtered = ptwResp_valid && ptwResp_hit(io.ptw.req(0).bits.vpn, io.ptw.req(0).bits.s2xlate, ptwResp) 555b240e1c0SAnzooooo val issue_fire_fake = issue_valid && io.ptw.req(0).ready 5562c2c1588SLemover io.ptw.req(0).valid := issue_valid && !issue_filtered 5576d5ddbceSLemover io.ptw.req(0).bits.vpn := vpn(issPtr) 558d0de7e4aSpeixiaokun io.ptw.req(0).bits.s2xlate := s2xlate(issPtr) 5596d5ddbceSLemover io.ptw.resp.ready := true.B 5606d5ddbceSLemover 5616d5ddbceSLemover reqs.zipWithIndex.map{ 5626d5ddbceSLemover case (req, i) => 5636d5ddbceSLemover when (req.valid && canEnqueue) { 5640ab9ba15SLemover v(enqPtrVec(i)) := !tlb_req_flushed(i) 5656d5ddbceSLemover vpn(enqPtrVec(i)) := req.bits.vpn 566d0de7e4aSpeixiaokun s2xlate(enqPtrVec(i)) := req.bits.s2xlate 567a4f9c77fSpeixiaokun getGpa(enqPtrVec(i)) := req.bits.getGpa 5688744445eSMaxpicca-Li memidx(enqPtrVec(i)) := req.bits.memidx 569a0301c0dSLemover ports(enqPtrVec(i)) := req_ports(i).asBools 570a0301c0dSLemover } 571a0301c0dSLemover } 572a0301c0dSLemover for (i <- ports.indices) { 573a0301c0dSLemover when (v(i)) { 574a0301c0dSLemover ports(i) := ports(i).zip(update_ports(i)).map(a => a._1 || a._2) 5756d5ddbceSLemover } 5766d5ddbceSLemover } 5776d5ddbceSLemover 5786d5ddbceSLemover val do_enq = canEnqueue && Cat(reqs.map(_.valid)).orR 5796d5ddbceSLemover val do_deq = (!v(deqPtr) && !isEmptyDeq) 5802c2c1588SLemover val do_iss = issue_fire_fake || (!v(issPtr) && !isEmptyIss) 5816d5ddbceSLemover when (do_enq) { 5826d5ddbceSLemover enqPtr := enqPtr + enqNum 5836d5ddbceSLemover } 5846d5ddbceSLemover when (do_deq) { 5856d5ddbceSLemover deqPtr := deqPtr + 1.U 5866d5ddbceSLemover } 5876d5ddbceSLemover when (do_iss) { 5886d5ddbceSLemover issPtr := issPtr + 1.U 5896d5ddbceSLemover } 5902c2c1588SLemover when (issue_fire_fake && issue_filtered) { // issued but is filtered 5912c2c1588SLemover v(issPtr) := false.B 5922c2c1588SLemover } 5936d5ddbceSLemover when (do_enq =/= do_deq) { 5946d5ddbceSLemover mayFullDeq := do_enq 5956d5ddbceSLemover } 5966d5ddbceSLemover when (do_enq =/= do_iss) { 5976d5ddbceSLemover mayFullIss := do_enq 5986d5ddbceSLemover } 5996d5ddbceSLemover 600935edac4STang Haojin when (io.ptw.resp.fire) { 601cccfc98dSLemover v.zip(ptwResp_OldMatchVec).map{ case (vi, mi) => when (mi) { vi := false.B }} 6026d5ddbceSLemover } 6036d5ddbceSLemover 6046d5ddbceSLemover counter := counter - do_deq + Mux(do_enq, enqNum, 0.U) 605fa9f9690SLemover assert(counter <= Size.U, "counter should be no more than Size") 606fa9f9690SLemover assert(inflight_counter <= Size.U, "inflight should be no more than Size") 6076d5ddbceSLemover when (counter === 0.U) { 608935edac4STang Haojin assert(!io.ptw.req(0).fire, "when counter is 0, should not req") 6096d5ddbceSLemover assert(isEmptyDeq && isEmptyIss, "when counter is 0, should be empty") 6106d5ddbceSLemover } 6116d5ddbceSLemover when (counter === Size.U) { 6126d5ddbceSLemover assert(mayFullDeq, "when counter is Size, should be full") 6136d5ddbceSLemover } 6146d5ddbceSLemover 61545f497a4Shappy-lx when (flush) { 6166d5ddbceSLemover v.map(_ := false.B) 6176d5ddbceSLemover deqPtr := 0.U 6186d5ddbceSLemover enqPtr := 0.U 6196d5ddbceSLemover issPtr := 0.U 6206d5ddbceSLemover ptwResp_valid := false.B 6216d5ddbceSLemover mayFullDeq := false.B 6226d5ddbceSLemover mayFullIss := false.B 6236d5ddbceSLemover counter := 0.U 624fa9f9690SLemover inflight_counter := 0.U 6256d5ddbceSLemover } 6266d5ddbceSLemover 62760ebee38STang Haojin val robHeadVaddr = io.debugTopDown.robHeadVaddr 628d2b20d1aSTang Haojin io.rob_head_miss_in_tlb := VecInit(v.zip(vpn).map{case (vi, vpni) => { 62960ebee38STang Haojin vi && robHeadVaddr.valid && vpni === get_pn(robHeadVaddr.bits) 630d2b20d1aSTang Haojin }}).asUInt.orR 631d2b20d1aSTang Haojin 6326d5ddbceSLemover // perf 6336d5ddbceSLemover XSPerfAccumulate("tlb_req_count", PopCount(Cat(io.tlb.req.map(_.valid)))) 6346d5ddbceSLemover XSPerfAccumulate("tlb_req_count_filtered", Mux(do_enq, accumEnqNum(Width - 1), 0.U)) 635935edac4STang Haojin XSPerfAccumulate("ptw_req_count", io.ptw.req(0).fire) 6366d5ddbceSLemover XSPerfAccumulate("ptw_req_cycle", inflight_counter) 637935edac4STang Haojin XSPerfAccumulate("tlb_resp_count", io.tlb.resp.fire) 638935edac4STang Haojin XSPerfAccumulate("ptw_resp_count", io.ptw.resp.fire) 6396d5ddbceSLemover XSPerfAccumulate("inflight_cycle", !isEmptyDeq) 6406d5ddbceSLemover for (i <- 0 until Size + 1) { 6416d5ddbceSLemover XSPerfAccumulate(s"counter${i}", counter === i.U) 6426d5ddbceSLemover } 6436d5ddbceSLemover} 64438ba1efdSLemover 64538ba1efdSLemoverobject PTWRepeater { 646f1fe8698SLemover def apply(fenceDelay: Int, 64738ba1efdSLemover tlb: TlbPtwIO, 64838ba1efdSLemover sfence: SfenceBundle, 64938ba1efdSLemover csr: TlbCsrBundle 65038ba1efdSLemover )(implicit p: Parameters) = { 65138ba1efdSLemover val width = tlb.req.size 652f1fe8698SLemover val repeater = Module(new PTWRepeater(width, fenceDelay)) 65335d6335eSZhangZifei repeater.io.apply(tlb, sfence, csr) 65438ba1efdSLemover repeater 65538ba1efdSLemover } 65638ba1efdSLemover 657f1fe8698SLemover def apply(fenceDelay: Int, 65838ba1efdSLemover tlb: TlbPtwIO, 65938ba1efdSLemover ptw: TlbPtwIO, 66038ba1efdSLemover sfence: SfenceBundle, 66138ba1efdSLemover csr: TlbCsrBundle 66238ba1efdSLemover )(implicit p: Parameters) = { 66338ba1efdSLemover val width = tlb.req.size 664f1fe8698SLemover val repeater = Module(new PTWRepeater(width, fenceDelay)) 66535d6335eSZhangZifei repeater.io.apply(tlb, ptw, sfence, csr) 66635d6335eSZhangZifei repeater 66735d6335eSZhangZifei } 66835d6335eSZhangZifei} 66938ba1efdSLemover 67035d6335eSZhangZifeiobject PTWRepeaterNB { 671f1fe8698SLemover def apply(passReady: Boolean, fenceDelay: Int, 67235d6335eSZhangZifei tlb: TlbPtwIO, 67335d6335eSZhangZifei sfence: SfenceBundle, 67435d6335eSZhangZifei csr: TlbCsrBundle 67535d6335eSZhangZifei )(implicit p: Parameters) = { 67635d6335eSZhangZifei val width = tlb.req.size 677f1fe8698SLemover val repeater = Module(new PTWRepeaterNB(width, passReady,fenceDelay)) 67835d6335eSZhangZifei repeater.io.apply(tlb, sfence, csr) 67935d6335eSZhangZifei repeater 68035d6335eSZhangZifei } 68135d6335eSZhangZifei 682f1fe8698SLemover def apply(passReady: Boolean, fenceDelay: Int, 68335d6335eSZhangZifei tlb: TlbPtwIO, 68435d6335eSZhangZifei ptw: TlbPtwIO, 68535d6335eSZhangZifei sfence: SfenceBundle, 68635d6335eSZhangZifei csr: TlbCsrBundle 68735d6335eSZhangZifei )(implicit p: Parameters) = { 68835d6335eSZhangZifei val width = tlb.req.size 689f1fe8698SLemover val repeater = Module(new PTWRepeaterNB(width, passReady, fenceDelay)) 69035d6335eSZhangZifei repeater.io.apply(tlb, ptw, sfence, csr) 69138ba1efdSLemover repeater 69238ba1efdSLemover } 69338ba1efdSLemover} 69438ba1efdSLemover 69538ba1efdSLemoverobject PTWFilter { 696f1fe8698SLemover def apply(fenceDelay: Int, 697f1fe8698SLemover tlb: VectorTlbPtwIO, 69838ba1efdSLemover ptw: TlbPtwIO, 69938ba1efdSLemover sfence: SfenceBundle, 70038ba1efdSLemover csr: TlbCsrBundle, 70138ba1efdSLemover size: Int 70238ba1efdSLemover )(implicit p: Parameters) = { 70338ba1efdSLemover val width = tlb.req.size 704f1fe8698SLemover val filter = Module(new PTWFilter(width, size, fenceDelay)) 70535d6335eSZhangZifei filter.io.apply(tlb, ptw, sfence, csr) 70638ba1efdSLemover filter 70738ba1efdSLemover } 70835d6335eSZhangZifei 709f1fe8698SLemover def apply(fenceDelay: Int, 710f1fe8698SLemover tlb: VectorTlbPtwIO, 71135d6335eSZhangZifei sfence: SfenceBundle, 71235d6335eSZhangZifei csr: TlbCsrBundle, 71335d6335eSZhangZifei size: Int 71435d6335eSZhangZifei )(implicit p: Parameters) = { 71535d6335eSZhangZifei val width = tlb.req.size 716f1fe8698SLemover val filter = Module(new PTWFilter(width, size, fenceDelay)) 71735d6335eSZhangZifei filter.io.apply(tlb, sfence, csr) 71835d6335eSZhangZifei filter 71935d6335eSZhangZifei } 720185e6164SHaoyuan Feng} 72135d6335eSZhangZifei 722185e6164SHaoyuan Fengobject PTWNewFilter { 723185e6164SHaoyuan Feng def apply(fenceDelay: Int, 724185e6164SHaoyuan Feng tlb: VectorTlbPtwIO, 725185e6164SHaoyuan Feng ptw: TlbPtwIO, 726185e6164SHaoyuan Feng sfence: SfenceBundle, 727185e6164SHaoyuan Feng csr: TlbCsrBundle, 728185e6164SHaoyuan Feng size: Int 729185e6164SHaoyuan Feng )(implicit p: Parameters) = { 730185e6164SHaoyuan Feng val width = tlb.req.size 731185e6164SHaoyuan Feng val filter = Module(new PTWNewFilter(width, size, fenceDelay)) 732185e6164SHaoyuan Feng filter.io.apply(tlb, ptw, sfence, csr) 733185e6164SHaoyuan Feng filter 734185e6164SHaoyuan Feng } 735185e6164SHaoyuan Feng 736185e6164SHaoyuan Feng def apply(fenceDelay: Int, 737185e6164SHaoyuan Feng tlb: VectorTlbPtwIO, 738185e6164SHaoyuan Feng sfence: SfenceBundle, 739185e6164SHaoyuan Feng csr: TlbCsrBundle, 740185e6164SHaoyuan Feng size: Int 741185e6164SHaoyuan Feng )(implicit p: Parameters) = { 742185e6164SHaoyuan Feng val width = tlb.req.size 743185e6164SHaoyuan Feng val filter = Module(new PTWNewFilter(width, size, fenceDelay)) 744185e6164SHaoyuan Feng filter.io.apply(tlb, sfence, csr) 745185e6164SHaoyuan Feng filter 746185e6164SHaoyuan Feng } 74738ba1efdSLemover} 748