xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/PageTableCache.scala (revision 7196f5a22559b87b6b76f16db4f96626be2aba76)
16d5ddbceSLemover/***************************************************************************************
26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
46d5ddbceSLemover*
56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2.
66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at:
86d5ddbceSLemover*          http://license.coscl.org.cn/MulanPSL2
96d5ddbceSLemover*
106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
136d5ddbceSLemover*
146d5ddbceSLemover* See the Mulan PSL v2 for more details.
156d5ddbceSLemover***************************************************************************************/
166d5ddbceSLemover
176d5ddbceSLemoverpackage xiangshan.cache.mmu
186d5ddbceSLemover
196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters
206d5ddbceSLemoverimport chisel3._
216d5ddbceSLemoverimport chisel3.util._
22b848eea5SLemoverimport chisel3.internal.naming.chiselName
236d5ddbceSLemoverimport xiangshan._
246d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
256d5ddbceSLemoverimport utils._
266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
276d5ddbceSLemoverimport freechips.rocketchip.tilelink._
286d5ddbceSLemover
296d5ddbceSLemover/* ptw cache caches the page table of all the three layers
306d5ddbceSLemover * ptw cache resp at next cycle
316d5ddbceSLemover * the cache should not be blocked
326d5ddbceSLemover * when miss queue if full, just block req outside
336d5ddbceSLemover */
346d5ddbceSLemoverclass PtwCacheIO()(implicit p: Parameters) extends PtwBundle {
356d5ddbceSLemover  val req = Flipped(DecoupledIO(new Bundle {
366d5ddbceSLemover    val vpn = UInt(vpnLen.W)
376d5ddbceSLemover    val source = UInt(bPtwWidth.W)
386d5ddbceSLemover    val isReplay = Bool()
396d5ddbceSLemover  }))
406d5ddbceSLemover  val resp = DecoupledIO(new Bundle {
416d5ddbceSLemover    val source = UInt(bPtwWidth.W)
426d5ddbceSLemover    val vpn = UInt(vpnLen.W)
436d5ddbceSLemover    val isReplay = Bool()
446d5ddbceSLemover    val hit = Bool()
456d5ddbceSLemover    val toFsm = new Bundle {
466d5ddbceSLemover      val l1Hit = Bool()
476d5ddbceSLemover      val l2Hit = Bool()
486d5ddbceSLemover      val ppn = UInt(ppnLen.W)
496d5ddbceSLemover    }
506d5ddbceSLemover    val toTlb = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true)
516d5ddbceSLemover  })
526d5ddbceSLemover  val refill = Flipped(ValidIO(new Bundle {
535854c1edSLemover    val ptes = UInt(blockBits.W)
546d5ddbceSLemover    val vpn = UInt(vpnLen.W)
556d5ddbceSLemover    val level = UInt(log2Up(Level).W)
56b848eea5SLemover    val addr_low = UInt((log2Up(l2tlbParams.blockBytes) - log2Up(XLEN/8)).W)
576d5ddbceSLemover  }))
586d5ddbceSLemover  val sfence = Input(new SfenceBundle)
596d5ddbceSLemover}
606d5ddbceSLemover
61b848eea5SLemover
62b848eea5SLemover@chiselName
636d5ddbceSLemoverclass PtwCache()(implicit p: Parameters) extends XSModule with HasPtwConst {
646d5ddbceSLemover  val io = IO(new PtwCacheIO)
656d5ddbceSLemover
66*7196f5a2SLemover  val ecc = Code.fromString(l2tlbParams.ecc)
67*7196f5a2SLemover  val l2EntryType = new PTWEntriesWithEcc(ecc, num = PtwL2SectorSize, tagLen = PtwL2TagLen, level = 1, hasPerm = false)
68*7196f5a2SLemover  val l3EntryType = new PTWEntriesWithEcc(ecc, num = PtwL3SectorSize, tagLen = PtwL3TagLen, level = 2, hasPerm = true)
69*7196f5a2SLemover
706d5ddbceSLemover  // TODO: four caches make the codes dirty, think about how to deal with it
716d5ddbceSLemover
726d5ddbceSLemover  val sfence = io.sfence
736d5ddbceSLemover  val refill = io.refill.bits
746d5ddbceSLemover
756d5ddbceSLemover  val first_valid = io.req.valid
766d5ddbceSLemover  val first_fire = first_valid && io.req.ready
776d5ddbceSLemover  val first_req = io.req.bits
786d5ddbceSLemover  val second_ready = Wire(Bool())
796d5ddbceSLemover  val second_valid = ValidHold(first_fire, io.resp.fire(), sfence.valid)
806d5ddbceSLemover  val second_req = RegEnable(first_req, first_fire)
816d5ddbceSLemover  // NOTE: if ptw cache resp may be blocked, hard to handle refill
826d5ddbceSLemover  // when miss queue is full, please to block itlb and dtlb input
836d5ddbceSLemover
846d5ddbceSLemover  // when refill, refuce to accept new req
855854c1edSLemover  val rwHarzad = if (sramSinglePort) io.refill.valid else false.B
866d5ddbceSLemover  io.req.ready := !rwHarzad && (second_ready || io.req.bits.isReplay)
876d5ddbceSLemover  // NOTE: when write, don't ready, whe
886d5ddbceSLemover  //       when replay, just come in, out make sure resp.fire()
896d5ddbceSLemover
906d5ddbceSLemover  // l1: level 0 non-leaf pte
915854c1edSLemover  val l1 = Reg(Vec(l2tlbParams.l1Size, new PtwEntry(tagLen = PtwL1TagLen)))
925854c1edSLemover  val l1v = RegInit(0.U(l2tlbParams.l1Size.W))
935854c1edSLemover  val l1g = Reg(UInt(l2tlbParams.l1Size.W))
946d5ddbceSLemover
956d5ddbceSLemover  // l2: level 1 non-leaf pte
966d5ddbceSLemover  val l2 = Module(new SRAMTemplate(
97*7196f5a2SLemover    l2EntryType,
985854c1edSLemover    set = l2tlbParams.l2nSets,
995854c1edSLemover    way = l2tlbParams.l2nWays,
1005854c1edSLemover    singlePort = sramSinglePort
1016d5ddbceSLemover  ))
1025854c1edSLemover  val l2v = RegInit(0.U((l2tlbParams.l2nSets * l2tlbParams.l2nWays).W))
1035854c1edSLemover  val l2g = Reg(UInt((l2tlbParams.l2nSets * l2tlbParams.l2nWays).W))
1046d5ddbceSLemover  def getl2vSet(vpn: UInt) = {
1055854c1edSLemover    require(log2Up(l2tlbParams.l2nWays) == log2Down(l2tlbParams.l2nWays))
1066d5ddbceSLemover    val set = genPtwL2SetIdx(vpn)
1075854c1edSLemover    require(set.getWidth == log2Up(l2tlbParams.l2nSets))
1085854c1edSLemover    val l2vVec = l2v.asTypeOf(Vec(l2tlbParams.l2nSets, UInt(l2tlbParams.l2nWays.W)))
1096d5ddbceSLemover    l2vVec(set)
1106d5ddbceSLemover  }
1116d5ddbceSLemover
1126d5ddbceSLemover  // l3: level 2 leaf pte of 4KB pages
1136d5ddbceSLemover  val l3 = Module(new SRAMTemplate(
114*7196f5a2SLemover    l3EntryType,
1155854c1edSLemover    set = l2tlbParams.l3nSets,
1165854c1edSLemover    way = l2tlbParams.l3nWays,
1175854c1edSLemover    singlePort = sramSinglePort
1186d5ddbceSLemover  ))
1195854c1edSLemover  val l3v = RegInit(0.U((l2tlbParams.l3nSets * l2tlbParams.l3nWays).W))
1205854c1edSLemover  val l3g = Reg(UInt((l2tlbParams.l3nSets * l2tlbParams.l3nWays).W))
1216d5ddbceSLemover  def getl3vSet(vpn: UInt) = {
1225854c1edSLemover    require(log2Up(l2tlbParams.l3nWays) == log2Down(l2tlbParams.l3nWays))
1236d5ddbceSLemover    val set = genPtwL3SetIdx(vpn)
1245854c1edSLemover    require(set.getWidth == log2Up(l2tlbParams.l3nSets))
1255854c1edSLemover    val l3vVec = l3v.asTypeOf(Vec(l2tlbParams.l3nSets, UInt(l2tlbParams.l3nWays.W)))
1266d5ddbceSLemover    l3vVec(set)
1276d5ddbceSLemover  }
1286d5ddbceSLemover
1296d5ddbceSLemover  // sp: level 0/1 leaf pte of 1GB/2MB super pages
1305854c1edSLemover  val sp = Reg(Vec(l2tlbParams.spSize, new PtwEntry(tagLen = SPTagLen, hasPerm = true, hasLevel = true)))
1315854c1edSLemover  val spv = RegInit(0.U(l2tlbParams.spSize.W))
1325854c1edSLemover  val spg = Reg(UInt(l2tlbParams.spSize.W))
1336d5ddbceSLemover
1346d5ddbceSLemover  // Access Perf
1355854c1edSLemover  val l1AccessPerf = Wire(Vec(l2tlbParams.l1Size, Bool()))
1365854c1edSLemover  val l2AccessPerf = Wire(Vec(l2tlbParams.l2nWays, Bool()))
1375854c1edSLemover  val l3AccessPerf = Wire(Vec(l2tlbParams.l3nWays, Bool()))
1385854c1edSLemover  val spAccessPerf = Wire(Vec(l2tlbParams.spSize, Bool()))
1396d5ddbceSLemover  l1AccessPerf.map(_ := false.B)
1406d5ddbceSLemover  l2AccessPerf.map(_ := false.B)
1416d5ddbceSLemover  l3AccessPerf.map(_ := false.B)
1426d5ddbceSLemover  spAccessPerf.map(_ := false.B)
1436d5ddbceSLemover
1446d5ddbceSLemover  // l1
1455854c1edSLemover  val ptwl1replace = ReplacementPolicy.fromString(l2tlbParams.l1Replacer, l2tlbParams.l1Size)
1466d5ddbceSLemover  val (l1Hit, l1HitPPN) = {
1476d5ddbceSLemover    val hitVecT = l1.zipWithIndex.map { case (e, i) => e.hit(first_req.vpn) && l1v(i) }
1486d5ddbceSLemover    val hitVec = hitVecT.map(RegEnable(_, first_fire))
1496d5ddbceSLemover    val hitPPN = ParallelPriorityMux(hitVec zip l1.map(_.ppn))
1506d5ddbceSLemover    val hit = ParallelOR(hitVec) && second_valid
1516d5ddbceSLemover
1526d5ddbceSLemover    when (hit) { ptwl1replace.access(OHToUInt(hitVec)) }
1536d5ddbceSLemover
1546d5ddbceSLemover    l1AccessPerf.zip(hitVec).map{ case (l, h) => l := h && RegNext(first_fire)}
1555854c1edSLemover    for (i <- 0 until l2tlbParams.l1Size) {
1566d5ddbceSLemover      XSDebug(first_fire, p"[l1] l1(${i.U}) ${l1(i)} hit:${l1(i).hit(first_req.vpn)}\n")
1576d5ddbceSLemover    }
1586d5ddbceSLemover    XSDebug(first_fire, p"[l1] l1v:${Binary(l1v)} hitVecT:${Binary(VecInit(hitVecT).asUInt)}\n")
1596d5ddbceSLemover    XSDebug(second_valid, p"[l1] l1Hit:${hit} l1HitPPN:0x${Hexadecimal(hitPPN)} hitVec:${VecInit(hitVec).asUInt}\n")
1606d5ddbceSLemover
1616d5ddbceSLemover    VecInit(hitVecT).suggestName(s"l1_hitVecT")
1626d5ddbceSLemover    VecInit(hitVec).suggestName(s"l1_hitVec")
1636d5ddbceSLemover
1646d5ddbceSLemover    (hit, hitPPN)
1656d5ddbceSLemover  }
1666d5ddbceSLemover
1676d5ddbceSLemover  // l2
1685854c1edSLemover  val ptwl2replace = ReplacementPolicy.fromString(l2tlbParams.l2Replacer,l2tlbParams.l2nWays,l2tlbParams.l2nSets)
169*7196f5a2SLemover  val (l2Hit, l2HitPPN, l2eccError) = {
1706d5ddbceSLemover    val ridx = genPtwL2SetIdx(first_req.vpn)
1716d5ddbceSLemover    val vidx = RegEnable(VecInit(getl2vSet(first_req.vpn).asBools), first_fire)
1726d5ddbceSLemover    l2.io.r.req.valid := first_fire
1736d5ddbceSLemover    l2.io.r.req.bits.apply(setIdx = ridx)
1746d5ddbceSLemover    val ramDatas = l2.io.r.resp.data
1756d5ddbceSLemover    // val hitVec = VecInit(ramDatas.map{wayData => wayData.hit(first_req.vpn) })
176*7196f5a2SLemover    val hitVec = VecInit(ramDatas.zip(vidx).map { case (wayData, v) => wayData.entries.hit(second_req.vpn) && v })
177*7196f5a2SLemover    val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas)
178*7196f5a2SLemover    val hitWayData = hitWayEntry.entries
179*7196f5a2SLemover    val hitWayEcc = hitWayEntry.ecc
1806d5ddbceSLemover    val hit = ParallelOR(hitVec) && second_valid
1815854c1edSLemover    val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l2nWays).map(_.U))
1826d5ddbceSLemover
183*7196f5a2SLemover    val eccError = ecc.decode(Cat(hitWayEcc, hitWayData.asUInt())).error
184*7196f5a2SLemover
1856d5ddbceSLemover    ridx.suggestName(s"l2_ridx")
1866d5ddbceSLemover    vidx.suggestName(s"l2_vidx")
1876d5ddbceSLemover    ramDatas.suggestName(s"l2_ramDatas")
1886d5ddbceSLemover    hitVec.suggestName(s"l2_hitVec")
1896d5ddbceSLemover    hitWayData.suggestName(s"l2_hitWayData")
1906d5ddbceSLemover    hitWay.suggestName(s"l2_hitWay")
1916d5ddbceSLemover
1926d5ddbceSLemover    when (hit) { ptwl2replace.access(genPtwL2SetIdx(second_req.vpn), hitWay) }
1936d5ddbceSLemover
1946d5ddbceSLemover    l2AccessPerf.zip(hitVec).map{ case (l, h) => l := h && RegNext(first_fire) }
1956d5ddbceSLemover    XSDebug(first_fire, p"[l2] ridx:0x${Hexadecimal(ridx)}\n")
1965854c1edSLemover    for (i <- 0 until l2tlbParams.l2nWays) {
197*7196f5a2SLemover      XSDebug(RegNext(first_fire), p"[l2] ramDatas(${i.U}) ${ramDatas(i)}  l2v:${vidx(i)}  hit:${ramDatas(i).entries.hit(second_req.vpn)}\n")
1986d5ddbceSLemover    }
1996d5ddbceSLemover    XSDebug(second_valid, p"[l2] l2Hit:${hit} l2HitPPN:0x${Hexadecimal(hitWayData.ppns(genPtwL2SectorIdx(second_req.vpn)))} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} vidx:${Binary(vidx.asUInt)}\n")
2006d5ddbceSLemover
201*7196f5a2SLemover    (hit && !eccError, hitWayData.ppns(genPtwL2SectorIdx(second_req.vpn)), hit && eccError)
2026d5ddbceSLemover  }
2036d5ddbceSLemover
2046d5ddbceSLemover  // l3
2055854c1edSLemover  val ptwl3replace = ReplacementPolicy.fromString(l2tlbParams.l3Replacer,l2tlbParams.l3nWays,l2tlbParams.l3nSets)
206*7196f5a2SLemover  val (l3Hit, l3HitData, l3eccError) = {
2076d5ddbceSLemover    val ridx = genPtwL3SetIdx(first_req.vpn)
2086d5ddbceSLemover    val vidx = RegEnable(VecInit(getl3vSet(first_req.vpn).asBools), first_fire)
2096d5ddbceSLemover    l3.io.r.req.valid := first_fire
2106d5ddbceSLemover    l3.io.r.req.bits.apply(setIdx = ridx)
2116d5ddbceSLemover    val ramDatas = l3.io.r.resp.data
212*7196f5a2SLemover    val hitVec = VecInit(ramDatas.zip(vidx).map{ case (wayData, v) => wayData.entries.hit(second_req.vpn) && v })
213*7196f5a2SLemover    val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas)
214*7196f5a2SLemover    val hitWayData = hitWayEntry.entries
215*7196f5a2SLemover    val hitWayEcc = hitWayEntry.ecc
2166d5ddbceSLemover    val hit = ParallelOR(hitVec) && second_valid
2175854c1edSLemover    val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l3nWays).map(_.U))
2186d5ddbceSLemover
219*7196f5a2SLemover    val eccError = ecc.decode(Cat(hitWayEcc, hitWayData.asUInt())).error
220*7196f5a2SLemover
2216d5ddbceSLemover    when (hit) { ptwl3replace.access(genPtwL3SetIdx(second_req.vpn), hitWay) }
2226d5ddbceSLemover
2236d5ddbceSLemover    l3AccessPerf.zip(hitVec).map{ case (l, h) => l := h && RegNext(first_fire) }
2246d5ddbceSLemover    XSDebug(first_fire, p"[l3] ridx:0x${Hexadecimal(ridx)}\n")
2255854c1edSLemover    for (i <- 0 until l2tlbParams.l3nWays) {
226*7196f5a2SLemover      XSDebug(RegNext(first_fire), p"[l3] ramDatas(${i.U}) ${ramDatas(i)}  l3v:${vidx(i)}  hit:${ramDatas(i).entries.hit(second_req.vpn)}\n")
2276d5ddbceSLemover    }
2286d5ddbceSLemover    XSDebug(second_valid, p"[l3] l3Hit:${hit} l3HitData:${hitWayData} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} vidx:${Binary(vidx.asUInt)}\n")
2296d5ddbceSLemover
2306d5ddbceSLemover    ridx.suggestName(s"l3_ridx")
2316d5ddbceSLemover    vidx.suggestName(s"l3_vidx")
2326d5ddbceSLemover    ramDatas.suggestName(s"l3_ramDatas")
2336d5ddbceSLemover    hitVec.suggestName(s"l3_hitVec")
2346d5ddbceSLemover    hitWay.suggestName(s"l3_hitWay")
2356d5ddbceSLemover
236*7196f5a2SLemover    (hit && !eccError, hitWayData, hit && eccError)
2376d5ddbceSLemover  }
2386d5ddbceSLemover  val l3HitPPN = l3HitData.ppns(genPtwL3SectorIdx(second_req.vpn))
2396d5ddbceSLemover  val l3HitPerm = l3HitData.perms.getOrElse(0.U.asTypeOf(Vec(PtwL3SectorSize, new PtePermBundle)))(genPtwL3SectorIdx(second_req.vpn))
2406d5ddbceSLemover
2416d5ddbceSLemover  // super page
2425854c1edSLemover  val spreplace = ReplacementPolicy.fromString(l2tlbParams.spReplacer, l2tlbParams.spSize)
2436d5ddbceSLemover  val (spHit, spHitData) = {
2446d5ddbceSLemover    val hitVecT = sp.zipWithIndex.map { case (e, i) => e.hit(first_req.vpn) && spv(i) }
2456d5ddbceSLemover    val hitVec = hitVecT.map(RegEnable(_, first_fire))
2466d5ddbceSLemover    val hitData = ParallelPriorityMux(hitVec zip sp)
2476d5ddbceSLemover    val hit = ParallelOR(hitVec) && second_valid
2486d5ddbceSLemover
2496d5ddbceSLemover    when (hit) { spreplace.access(OHToUInt(hitVec)) }
2506d5ddbceSLemover
2516d5ddbceSLemover    spAccessPerf.zip(hitVec).map{ case (s, h) => s := h && RegNext(first_fire) }
2525854c1edSLemover    for (i <- 0 until l2tlbParams.spSize) {
2536d5ddbceSLemover      XSDebug(first_fire, p"[sp] sp(${i.U}) ${sp(i)} hit:${sp(i).hit(first_req.vpn)} spv:${spv(i)}\n")
2546d5ddbceSLemover    }
2556d5ddbceSLemover    XSDebug(second_valid, p"[sp] spHit:${hit} spHitData:${hitData} hitVec:${Binary(VecInit(hitVec).asUInt)}\n")
2566d5ddbceSLemover
2576d5ddbceSLemover    VecInit(hitVecT).suggestName(s"sp_hitVecT")
2586d5ddbceSLemover    VecInit(hitVec).suggestName(s"sp_hitVec")
2596d5ddbceSLemover
2606d5ddbceSLemover    (hit, hitData)
2616d5ddbceSLemover  }
2626d5ddbceSLemover  val spHitPerm = spHitData.perm.getOrElse(0.U.asTypeOf(new PtePermBundle))
2636d5ddbceSLemover  val spHitLevel = spHitData.level.getOrElse(0.U)
2646d5ddbceSLemover
2656d5ddbceSLemover  val resp = Wire(io.resp.bits.cloneType)
2666d5ddbceSLemover  val resp_latch = RegEnable(resp, io.resp.valid && !io.resp.ready)
2676d5ddbceSLemover  val resp_latch_valid = ValidHold(io.resp.valid && !io.resp.ready, io.resp.ready, sfence.valid)
2686d5ddbceSLemover  second_ready := !(second_valid || resp_latch_valid) || io.resp.fire()
2696d5ddbceSLemover  resp.source   := second_req.source
2706d5ddbceSLemover  resp.vpn      := second_req.vpn
2716d5ddbceSLemover  resp.isReplay := second_req.isReplay
2726d5ddbceSLemover  resp.hit      := l3Hit || spHit
2736d5ddbceSLemover  resp.toFsm.l1Hit := l1Hit
2746d5ddbceSLemover  resp.toFsm.l2Hit := l2Hit
2756d5ddbceSLemover  resp.toFsm.ppn   := Mux(l2Hit, l2HitPPN, l1HitPPN)
2766d5ddbceSLemover  resp.toTlb.tag   := second_req.vpn
2776d5ddbceSLemover  resp.toTlb.ppn   := Mux(l3Hit, l3HitPPN, spHitData.ppn)
2786d5ddbceSLemover  resp.toTlb.perm.map(_ := Mux(l3Hit, l3HitPerm, spHitPerm))
2796d5ddbceSLemover  resp.toTlb.level.map(_ := Mux(l3Hit, 2.U, spHitLevel))
2806d5ddbceSLemover
2816d5ddbceSLemover  io.resp.valid := second_valid
2826d5ddbceSLemover  io.resp.bits := Mux(resp_latch_valid, resp_latch, resp)
2836d5ddbceSLemover  assert(!(l3Hit && spHit), "normal page and super page both hit")
2846d5ddbceSLemover
2856d5ddbceSLemover  // refill Perf
2865854c1edSLemover  val l1RefillPerf = Wire(Vec(l2tlbParams.l1Size, Bool()))
2875854c1edSLemover  val l2RefillPerf = Wire(Vec(l2tlbParams.l2nWays, Bool()))
2885854c1edSLemover  val l3RefillPerf = Wire(Vec(l2tlbParams.l3nWays, Bool()))
2895854c1edSLemover  val spRefillPerf = Wire(Vec(l2tlbParams.spSize, Bool()))
2906d5ddbceSLemover  l1RefillPerf.map(_ := false.B)
2916d5ddbceSLemover  l2RefillPerf.map(_ := false.B)
2926d5ddbceSLemover  l3RefillPerf.map(_ := false.B)
2936d5ddbceSLemover  spRefillPerf.map(_ := false.B)
2946d5ddbceSLemover
2956d5ddbceSLemover  // refill
2966d5ddbceSLemover  l2.io.w.req <> DontCare
2976d5ddbceSLemover  l3.io.w.req <> DontCare
2986d5ddbceSLemover  l2.io.w.req.valid := false.B
2996d5ddbceSLemover  l3.io.w.req.valid := false.B
3006d5ddbceSLemover
3015854c1edSLemover  def get_part(data: UInt, index: UInt): UInt = {
3025854c1edSLemover    val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W)))
3035854c1edSLemover    inner_data(index)
3045854c1edSLemover  }
3055854c1edSLemover
3066d5ddbceSLemover  val memRdata = refill.ptes
307b848eea5SLemover  val memSelData = get_part(memRdata, refill.addr_low)
3085854c1edSLemover  val memPtes = (0 until (l2tlbParams.blockBytes/(XLEN/8))).map(i => memRdata((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle))
3096d5ddbceSLemover  val memPte = memSelData.asTypeOf(new PteBundle)
3106d5ddbceSLemover
311b848eea5SLemover  memPte.suggestName("memPte")
312b848eea5SLemover
3136d5ddbceSLemover  // TODO: handle sfenceLatch outsize
314b848eea5SLemover  when (io.refill.valid && !memPte.isPf(refill.level) && !sfence.valid ) {
3156d5ddbceSLemover    when (refill.level === 0.U && !memPte.isLeaf()) {
3165854c1edSLemover      // val refillIdx = LFSR64()(log2Up(l2tlbParams.l1Size)-1,0) // TODO: may be LRU
3176d5ddbceSLemover      val refillIdx = replaceWrapper(l1v, ptwl1replace.way)
3186d5ddbceSLemover      refillIdx.suggestName(s"PtwL1RefillIdx")
3196d5ddbceSLemover      val rfOH = UIntToOH(refillIdx)
3206d5ddbceSLemover      l1(refillIdx).refill(refill.vpn, memSelData)
3216d5ddbceSLemover      ptwl1replace.access(refillIdx)
3226d5ddbceSLemover      l1v := l1v | rfOH
3236d5ddbceSLemover      l1g := (l1g & ~rfOH) | Mux(memPte.perm.g, rfOH, 0.U)
3246d5ddbceSLemover
3255854c1edSLemover      for (i <- 0 until l2tlbParams.l1Size) {
3266d5ddbceSLemover        l1RefillPerf(i) := i.U === refillIdx
3276d5ddbceSLemover      }
3286d5ddbceSLemover
3296d5ddbceSLemover      XSDebug(p"[l1 refill] refillIdx:${refillIdx} refillEntry:${l1(refillIdx).genPtwEntry(refill.vpn, memSelData)}\n")
3306d5ddbceSLemover      XSDebug(p"[l1 refill] l1v:${Binary(l1v)}->${Binary(l1v | rfOH)} l1g:${Binary(l1g)}->${Binary((l1g & ~rfOH) | Mux(memPte.perm.g, rfOH, 0.U))}\n")
3316d5ddbceSLemover
3326d5ddbceSLemover      refillIdx.suggestName(s"l1_refillIdx")
3336d5ddbceSLemover      rfOH.suggestName(s"l1_rfOH")
3346d5ddbceSLemover    }
3356d5ddbceSLemover
3366d5ddbceSLemover    when (refill.level === 1.U && !memPte.isLeaf()) {
3376d5ddbceSLemover      val refillIdx = genPtwL2SetIdx(refill.vpn)
3386d5ddbceSLemover      val victimWay = replaceWrapper(RegEnable(VecInit(getl2vSet(refill.vpn).asBools).asUInt, first_fire), ptwl2replace.way(refillIdx))
3396d5ddbceSLemover      val victimWayOH = UIntToOH(victimWay)
3406d5ddbceSLemover      val rfvOH = UIntToOH(Cat(refillIdx, victimWay))
341*7196f5a2SLemover      val wdata = Wire(l2EntryType)
342*7196f5a2SLemover      wdata.entries := wdata.entries.genEntries(vpn = refill.vpn, data = memRdata, levelUInt = 1.U)
343*7196f5a2SLemover      wdata.ecc := ecc.encode(wdata.entries.asUInt()) >> wdata.entries.getWidth
3446d5ddbceSLemover      l2.io.w.apply(
3456d5ddbceSLemover        valid = true.B,
3466d5ddbceSLemover        setIdx = refillIdx,
347*7196f5a2SLemover        data = wdata,
3486d5ddbceSLemover        waymask = victimWayOH
3496d5ddbceSLemover      )
3506d5ddbceSLemover      ptwl2replace.access(refillIdx, victimWay)
3516d5ddbceSLemover      l2v := l2v | rfvOH
3526d5ddbceSLemover      l2g := l2g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U)
3536d5ddbceSLemover
3545854c1edSLemover      for (i <- 0 until l2tlbParams.l2nWays) {
3556d5ddbceSLemover        l2RefillPerf(i) := i.U === victimWay
3566d5ddbceSLemover      }
3576d5ddbceSLemover
3586d5ddbceSLemover      XSDebug(p"[l2 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n")
3596d5ddbceSLemover      XSDebug(p"[l2 refill] refilldata:0x${
3606d5ddbceSLemover        (new PtwEntries(num = PtwL2SectorSize, tagLen = PtwL2TagLen, level = 1, hasPerm = false)).genEntries(
3616d5ddbceSLemover          vpn = refill.vpn, data = memRdata, levelUInt = 1.U)
3626d5ddbceSLemover      }\n")
3636d5ddbceSLemover      XSDebug(p"[l2 refill] l2v:${Binary(l2v)} -> ${Binary(l2v | rfvOH)}\n")
3646d5ddbceSLemover      XSDebug(p"[l2 refill] l2g:${Binary(l2g)} -> ${Binary(l2g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n")
3656d5ddbceSLemover
3666d5ddbceSLemover      refillIdx.suggestName(s"l2_refillIdx")
3676d5ddbceSLemover      victimWay.suggestName(s"l2_victimWay")
3686d5ddbceSLemover      victimWayOH.suggestName(s"l2_victimWayOH")
3696d5ddbceSLemover      rfvOH.suggestName(s"l2_rfvOH")
3706d5ddbceSLemover    }
3716d5ddbceSLemover
3726d5ddbceSLemover    when (refill.level === 2.U && memPte.isLeaf()) {
3736d5ddbceSLemover      val refillIdx = genPtwL3SetIdx(refill.vpn)
3746d5ddbceSLemover      val victimWay = replaceWrapper(RegEnable(VecInit(getl3vSet(refill.vpn).asBools).asUInt, first_fire), ptwl3replace.way(refillIdx))
3756d5ddbceSLemover      val victimWayOH = UIntToOH(victimWay)
3766d5ddbceSLemover      val rfvOH = UIntToOH(Cat(refillIdx, victimWay))
377*7196f5a2SLemover      val wdata = Wire(l3EntryType)
378*7196f5a2SLemover      wdata.entries := wdata.entries.genEntries(vpn = refill.vpn, data = memRdata, levelUInt = 2.U)
379*7196f5a2SLemover      wdata.ecc := ecc.encode(wdata.entries.asUInt()) >> wdata.entries.getWidth
3806d5ddbceSLemover      l3.io.w.apply(
3816d5ddbceSLemover        valid = true.B,
3826d5ddbceSLemover        setIdx = refillIdx,
383*7196f5a2SLemover        data = wdata,
3846d5ddbceSLemover        waymask = victimWayOH
3856d5ddbceSLemover      )
3866d5ddbceSLemover      ptwl3replace.access(refillIdx, victimWay)
3876d5ddbceSLemover      l3v := l3v | rfvOH
3886d5ddbceSLemover      l3g := l3g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U)
3896d5ddbceSLemover
3905854c1edSLemover        for (i <- 0 until l2tlbParams.l3nWays) {
3916d5ddbceSLemover          l3RefillPerf(i) := i.U === victimWay
3926d5ddbceSLemover        }
3936d5ddbceSLemover
3946d5ddbceSLemover      XSDebug(p"[l3 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n")
3956d5ddbceSLemover      XSDebug(p"[l3 refill] refilldata:0x${
3966d5ddbceSLemover        (new PtwEntries(num = PtwL3SectorSize, tagLen = PtwL3TagLen, level = 2, hasPerm = true)).genEntries(
3976d5ddbceSLemover          vpn = refill.vpn, data = memRdata, levelUInt = 2.U)
3986d5ddbceSLemover      }\n")
3996d5ddbceSLemover      XSDebug(p"[l3 refill] l3v:${Binary(l3v)} -> ${Binary(l3v | rfvOH)}\n")
4006d5ddbceSLemover      XSDebug(p"[l3 refill] l3g:${Binary(l3g)} -> ${Binary(l3g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n")
4016d5ddbceSLemover
4026d5ddbceSLemover      refillIdx.suggestName(s"l3_refillIdx")
4036d5ddbceSLemover      victimWay.suggestName(s"l3_victimWay")
4046d5ddbceSLemover      victimWayOH.suggestName(s"l3_victimWayOH")
4056d5ddbceSLemover      rfvOH.suggestName(s"l3_rfvOH")
4066d5ddbceSLemover    }
4076d5ddbceSLemover    when ((refill.level === 0.U || refill.level === 1.U) && memPte.isLeaf()) {
4085854c1edSLemover      val refillIdx = spreplace.way// LFSR64()(log2Up(l2tlbParams.spSize)-1,0) // TODO: may be LRU
4096d5ddbceSLemover      val rfOH = UIntToOH(refillIdx)
4106d5ddbceSLemover      sp(refillIdx).refill(refill.vpn, memSelData, refill.level)
4116d5ddbceSLemover      spreplace.access(refillIdx)
4126d5ddbceSLemover      spv := spv | rfOH
4136d5ddbceSLemover      spg := spg & ~rfOH | Mux(memPte.perm.g, rfOH, 0.U)
4146d5ddbceSLemover
4155854c1edSLemover      for (i <- 0 until l2tlbParams.spSize) {
4166d5ddbceSLemover        spRefillPerf(i) := i.U === refillIdx
4176d5ddbceSLemover      }
4186d5ddbceSLemover
4196d5ddbceSLemover      XSDebug(p"[sp refill] refillIdx:${refillIdx} refillEntry:${sp(refillIdx).genPtwEntry(refill.vpn, memSelData, refill.level)}\n")
4206d5ddbceSLemover      XSDebug(p"[sp refill] spv:${Binary(spv)}->${Binary(spv | rfOH)} spg:${Binary(spg)}->${Binary(spg & ~rfOH | Mux(memPte.perm.g, rfOH, 0.U))}\n")
4216d5ddbceSLemover
4226d5ddbceSLemover      refillIdx.suggestName(s"sp_refillIdx")
4236d5ddbceSLemover      rfOH.suggestName(s"sp_rfOH")
4246d5ddbceSLemover    }
4256d5ddbceSLemover  }
4266d5ddbceSLemover
427*7196f5a2SLemover  val l2eccFlush = RegNext(l2eccError, init = false.B)
428*7196f5a2SLemover  val l3eccFlush = RegNext(l3eccError, init = false.B)
429*7196f5a2SLemover  val eccVpn = RegNext(second_req.vpn)
430*7196f5a2SLemover
431*7196f5a2SLemover  assert(!l2eccFlush)
432*7196f5a2SLemover  assert(!l3eccFlush)
433*7196f5a2SLemover  when (l2eccFlush) {
434*7196f5a2SLemover    val flushSetIdxOH = UIntToOH(genPtwL2SetIdx(eccVpn))
435*7196f5a2SLemover    val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l2nWays, a.asUInt) }).asUInt
436*7196f5a2SLemover    l2v := l2v & ~flushMask
437*7196f5a2SLemover    l2g := l2g & ~flushMask
438*7196f5a2SLemover  }
439*7196f5a2SLemover
440*7196f5a2SLemover  when (l3eccFlush) {
441*7196f5a2SLemover    val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(eccVpn))
442*7196f5a2SLemover    val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt
443*7196f5a2SLemover    l3v := l3v & ~flushMask
444*7196f5a2SLemover    l3g := l3g & ~flushMask
445*7196f5a2SLemover  }
446*7196f5a2SLemover
4476d5ddbceSLemover  // sfence
4486d5ddbceSLemover  when (sfence.valid) {
4496d5ddbceSLemover    when (sfence.bits.rs1/*va*/) {
4506d5ddbceSLemover      when (sfence.bits.rs2) {
4516d5ddbceSLemover        // all va && all asid
4526d5ddbceSLemover        l1v := 0.U
4536d5ddbceSLemover        l2v := 0.U
4546d5ddbceSLemover        l3v := 0.U
4556d5ddbceSLemover        spv := 0.U
4566d5ddbceSLemover      } .otherwise {
4576d5ddbceSLemover        // all va && specific asid except global
4586d5ddbceSLemover        l1v := l1v & l1g
4596d5ddbceSLemover        l2v := l2v & l2g
4606d5ddbceSLemover        l3v := l3v & l3g
4616d5ddbceSLemover        spv := spv & spg
4626d5ddbceSLemover      }
4636d5ddbceSLemover    } .otherwise {
4646d5ddbceSLemover      // val flushMask = UIntToOH(genTlbL2Idx(sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen)))
4656d5ddbceSLemover      val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen)))
4665854c1edSLemover      // val flushMask = VecInit(flushSetIdxOH.asBools.map(Fill(l2tlbParams.l3nWays, _.asUInt))).asUInt
4675854c1edSLemover      val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt
4686d5ddbceSLemover      flushSetIdxOH.suggestName(s"sfence_nrs1_flushSetIdxOH")
4696d5ddbceSLemover      flushMask.suggestName(s"sfence_nrs1_flushMask")
4706d5ddbceSLemover      when (sfence.bits.rs2) {
4716d5ddbceSLemover        // specific leaf of addr && all asid
4726d5ddbceSLemover        l3v := l3v & ~flushMask
4736d5ddbceSLemover        l3g := l3g & ~flushMask
4746d5ddbceSLemover      } .otherwise {
4756d5ddbceSLemover        // specific leaf of addr && specific asid
4766d5ddbceSLemover        l3v := l3v & (~flushMask | l3g)
4776d5ddbceSLemover      }
4786d5ddbceSLemover      spv := 0.U
4796d5ddbceSLemover    }
4806d5ddbceSLemover  }
4816d5ddbceSLemover
4826d5ddbceSLemover  // Perf Count
4836d5ddbceSLemover  XSPerfAccumulate("access", second_valid)
4846d5ddbceSLemover  XSPerfAccumulate("l1_hit", l1Hit)
4856d5ddbceSLemover  XSPerfAccumulate("l2_hit", l2Hit)
4866d5ddbceSLemover  XSPerfAccumulate("l3_hit", l3Hit)
4876d5ddbceSLemover  XSPerfAccumulate("sp_hit", spHit)
4886d5ddbceSLemover  XSPerfAccumulate("pte_hit", l3Hit || spHit)
4896d5ddbceSLemover  XSPerfAccumulate("rwHarzad", io.req.valid && !io.req.ready)
4906d5ddbceSLemover  XSPerfAccumulate("out_blocked", io.resp.valid && !io.resp.ready)
4916d5ddbceSLemover  l1AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L1AccessIndex${i}", l) }
4926d5ddbceSLemover  l2AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L2AccessIndex${i}", l) }
4936d5ddbceSLemover  l3AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L3AccessIndex${i}", l) }
4946d5ddbceSLemover  spAccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPAccessIndex${i}", l) }
4956d5ddbceSLemover  l1RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L1RefillIndex${i}", l) }
4966d5ddbceSLemover  l2RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L2RefillIndex${i}", l) }
4976d5ddbceSLemover  l3RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L3RefillIndex${i}", l) }
4986d5ddbceSLemover  spRefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPRefillIndex${i}", l) }
4996d5ddbceSLemover
5006d5ddbceSLemover  // debug
5016d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] original v and g vector:\n")
5026d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l1v:${Binary(l1v)}\n")
5036d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l2v:${Binary(l2v)}\n")
5046d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l3v:${Binary(l3v)}\n")
5056d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l3g:${Binary(l3g)}\n")
5066d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] spv:${Binary(spv)}\n")
5076d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] new v and g vector:\n")
5086d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l1v:${Binary(l1v)}\n")
5096d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l2v:${Binary(l2v)}\n")
5106d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l3v:${Binary(l3v)}\n")
5116d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l3g:${Binary(l3g)}\n")
5126d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] spv:${Binary(spv)}\n")
5136d5ddbceSLemover}
514