xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/PageTableCache.scala (revision 63632028e4f04e10c83fd34b02289fc6fab3679c)
16d5ddbceSLemover/***************************************************************************************
26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
46d5ddbceSLemover*
56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2.
66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at:
86d5ddbceSLemover*          http://license.coscl.org.cn/MulanPSL2
96d5ddbceSLemover*
106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
136d5ddbceSLemover*
146d5ddbceSLemover* See the Mulan PSL v2 for more details.
156d5ddbceSLemover***************************************************************************************/
166d5ddbceSLemover
176d5ddbceSLemoverpackage xiangshan.cache.mmu
186d5ddbceSLemover
196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters
206d5ddbceSLemoverimport chisel3._
216d5ddbceSLemoverimport chisel3.util._
22b848eea5SLemoverimport chisel3.internal.naming.chiselName
236d5ddbceSLemoverimport xiangshan._
246d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
256d5ddbceSLemoverimport utils._
263c02ee8fSwakafaimport utility._
276d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
286d5ddbceSLemoverimport freechips.rocketchip.tilelink._
296d5ddbceSLemover
306d5ddbceSLemover/* ptw cache caches the page table of all the three layers
316d5ddbceSLemover * ptw cache resp at next cycle
326d5ddbceSLemover * the cache should not be blocked
336d5ddbceSLemover * when miss queue if full, just block req outside
346d5ddbceSLemover */
353889e11eSLemover
363889e11eSLemoverclass PageCachePerPespBundle(implicit p: Parameters) extends PtwBundle {
373889e11eSLemover  val hit = Bool()
383889e11eSLemover  val pre = Bool()
393889e11eSLemover  val ppn = UInt(ppnLen.W)
403889e11eSLemover  val perm = new PtePermBundle()
413889e11eSLemover  val ecc = Bool()
423889e11eSLemover  val level = UInt(2.W)
438d8ac704SLemover  val v = Bool()
443889e11eSLemover
453889e11eSLemover  def apply(hit: Bool, pre: Bool, ppn: UInt, perm: PtePermBundle = 0.U.asTypeOf(new PtePermBundle()),
468d8ac704SLemover            ecc: Bool = false.B, level: UInt = 0.U, valid: Bool = true.B) {
473889e11eSLemover    this.hit := hit && !ecc
483889e11eSLemover    this.pre := pre
493889e11eSLemover    this.ppn := ppn
503889e11eSLemover    this.perm := perm
513889e11eSLemover    this.ecc := ecc && hit
523889e11eSLemover    this.level := level
538d8ac704SLemover    this.v := valid
543889e11eSLemover  }
553889e11eSLemover}
563889e11eSLemover
57*63632028SHaoyuan Fengclass PageCacheMergePespBundle(implicit p: Parameters) extends PtwBundle {
58*63632028SHaoyuan Feng  assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!")
59*63632028SHaoyuan Feng  val hit = Bool()
60*63632028SHaoyuan Feng  val pre = Bool()
61*63632028SHaoyuan Feng  val ppn = Vec(tlbcontiguous, UInt(ppnLen.W))
62*63632028SHaoyuan Feng  val perm = Vec(tlbcontiguous, new PtePermBundle())
63*63632028SHaoyuan Feng  val ecc = Bool()
64*63632028SHaoyuan Feng  val level = UInt(2.W)
65*63632028SHaoyuan Feng  val v = Vec(tlbcontiguous, Bool())
66*63632028SHaoyuan Feng
67*63632028SHaoyuan Feng  def apply(hit: Bool, pre: Bool, ppn: Vec[UInt], perm: Vec[PtePermBundle] = Vec(tlbcontiguous, 0.U.asTypeOf(new PtePermBundle())),
68*63632028SHaoyuan Feng            ecc: Bool = false.B, level: UInt = 0.U, valid: Vec[Bool] = Vec(tlbcontiguous, true.B)) {
69*63632028SHaoyuan Feng    this.hit := hit && !ecc
70*63632028SHaoyuan Feng    this.pre := pre
71*63632028SHaoyuan Feng    this.ppn := ppn
72*63632028SHaoyuan Feng    this.perm := perm
73*63632028SHaoyuan Feng    this.ecc := ecc && hit
74*63632028SHaoyuan Feng    this.level := level
75*63632028SHaoyuan Feng    this.v := valid
76*63632028SHaoyuan Feng  }
77*63632028SHaoyuan Feng}
78*63632028SHaoyuan Feng
793889e11eSLemoverclass PageCacheRespBundle(implicit p: Parameters) extends PtwBundle {
803889e11eSLemover  val l1 = new PageCachePerPespBundle
813889e11eSLemover  val l2 = new PageCachePerPespBundle
82*63632028SHaoyuan Feng  val l3 = new PageCacheMergePespBundle
833889e11eSLemover  val sp = new PageCachePerPespBundle
843889e11eSLemover}
853889e11eSLemover
863889e11eSLemoverclass PtwCacheReq(implicit p: Parameters) extends PtwBundle {
873889e11eSLemover  val req_info = new L2TlbInnerBundle()
883889e11eSLemover  val isFirst = Bool()
891f4a7c0cSLemover  val bypassed = Vec(3, Bool())
903889e11eSLemover}
913889e11eSLemover
923889e11eSLemoverclass PtwCacheIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
933889e11eSLemover  val req = Flipped(DecoupledIO(new PtwCacheReq()))
946d5ddbceSLemover  val resp = DecoupledIO(new Bundle {
9545f497a4Shappy-lx    val req_info = new L2TlbInnerBundle()
9694133605SLemover    val isFirst = Bool()
976d5ddbceSLemover    val hit = Bool()
98bc063562SLemover    val prefetch = Bool() // is the entry fetched by prefetch
991f4a7c0cSLemover    val bypassed = Bool()
1006d5ddbceSLemover    val toFsm = new Bundle {
1016d5ddbceSLemover      val l1Hit = Bool()
1026d5ddbceSLemover      val l2Hit = Bool()
1036d5ddbceSLemover      val ppn = UInt(ppnLen.W)
1046d5ddbceSLemover    }
105*63632028SHaoyuan Feng    val toTlb = new PtwMergeResp()
1066d5ddbceSLemover  })
1076d5ddbceSLemover  val refill = Flipped(ValidIO(new Bundle {
1085854c1edSLemover    val ptes = UInt(blockBits.W)
1097797f035SbugGenerator    val levelOH = new Bundle {
1107797f035SbugGenerator      // NOTE: levelOH has (Level+1) bits, each stands for page cache entries
1117797f035SbugGenerator      val sp = Bool()
1127797f035SbugGenerator      val l3 = Bool()
1137797f035SbugGenerator      val l2 = Bool()
1147797f035SbugGenerator      val l1 = Bool()
1157797f035SbugGenerator      def apply(levelUInt: UInt, valid: Bool) = {
1167797f035SbugGenerator        sp := RegNext((levelUInt === 0.U || levelUInt === 1.U) && valid, false.B)
1177797f035SbugGenerator        l3 := RegNext((levelUInt === 2.U) & valid, false.B)
1187797f035SbugGenerator        l2 := RegNext((levelUInt === 1.U) & valid, false.B)
1197797f035SbugGenerator        l1 := RegNext((levelUInt === 0.U) & valid, false.B)
1207797f035SbugGenerator      }
1217797f035SbugGenerator    }
1227797f035SbugGenerator    // duplicate level and sel_pte for each page caches, for better fanout
1237797f035SbugGenerator    val req_info_dup = Vec(3, new L2TlbInnerBundle())
1247797f035SbugGenerator    val level_dup = Vec(3, UInt(log2Up(Level).W))
1257797f035SbugGenerator    val sel_pte_dup = Vec(3, UInt(XLEN.W))
1266d5ddbceSLemover  }))
1277797f035SbugGenerator  val sfence_dup = Vec(4, Input(new SfenceBundle()))
1287797f035SbugGenerator  val csr_dup = Vec(3, Input(new TlbCsrBundle()))
1296d5ddbceSLemover}
1306d5ddbceSLemover
131b848eea5SLemover@chiselName
1321ca0e4f3SYinan Xuclass PtwCache()(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents {
1336d5ddbceSLemover  val io = IO(new PtwCacheIO)
1346d5ddbceSLemover
1357196f5a2SLemover  val ecc = Code.fromString(l2tlbParams.ecc)
1367196f5a2SLemover  val l2EntryType = new PTWEntriesWithEcc(ecc, num = PtwL2SectorSize, tagLen = PtwL2TagLen, level = 1, hasPerm = false)
1377196f5a2SLemover  val l3EntryType = new PTWEntriesWithEcc(ecc, num = PtwL3SectorSize, tagLen = PtwL3TagLen, level = 2, hasPerm = true)
1387196f5a2SLemover
1396d5ddbceSLemover  // TODO: four caches make the codes dirty, think about how to deal with it
1406d5ddbceSLemover
1417797f035SbugGenerator  val sfence_dup = io.sfence_dup
1426d5ddbceSLemover  val refill = io.refill.bits
1437797f035SbugGenerator  val refill_prefetch_dup = io.refill.bits.req_info_dup.map(a => from_pre(a.source))
1447797f035SbugGenerator  val flush_dup = sfence_dup.zip(io.csr_dup).map(f => f._1.valid || f._2.satp.changed)
1457797f035SbugGenerator  val flush = flush_dup(0)
1466d5ddbceSLemover
1476d5ddbceSLemover  // when refill, refuce to accept new req
1485854c1edSLemover  val rwHarzad = if (sramSinglePort) io.refill.valid else false.B
1493889e11eSLemover
1503889e11eSLemover  // handle hand signal and req_info
1516c4dcc2dSLemover  // TODO: replace with FlushableQueue
1526c4dcc2dSLemover  val stageReq = Wire(Decoupled(new PtwCacheReq()))         // enq stage & read page cache valid
1536c4dcc2dSLemover  val stageDelay = Wire(Vec(2, Decoupled(new PtwCacheReq()))) // page cache resp
1546c4dcc2dSLemover  val stageCheck = Wire(Vec(2, Decoupled(new PtwCacheReq()))) // check hit & check ecc
1556c4dcc2dSLemover  val stageResp = Wire(Decoupled(new PtwCacheReq()))         // deq stage
1567797f035SbugGenerator
1577797f035SbugGenerator  val stageDelay_valid_1cycle = OneCycleValid(stageReq.fire, flush)      // catch ram data
1587797f035SbugGenerator  val stageCheck_valid_1cycle = OneCycleValid(stageDelay(1).fire, flush) // replace & perf counter
1597797f035SbugGenerator  val stageResp_valid_1cycle_dup = Wire(Vec(2, Bool()))
1607797f035SbugGenerator  stageResp_valid_1cycle_dup.map(_ := OneCycleValid(stageCheck(1).fire, flush))  // ecc flush
1617797f035SbugGenerator
1626c4dcc2dSLemover  stageReq <> io.req
1636c4dcc2dSLemover  PipelineConnect(stageReq, stageDelay(0), stageDelay(1).ready, flush, rwHarzad)
1647797f035SbugGenerator  InsideStageConnect(stageDelay(0), stageDelay(1), stageDelay_valid_1cycle)
1656c4dcc2dSLemover  PipelineConnect(stageDelay(1), stageCheck(0), stageCheck(1).ready, flush)
1667797f035SbugGenerator  InsideStageConnect(stageCheck(0), stageCheck(1), stageCheck_valid_1cycle)
1676c4dcc2dSLemover  PipelineConnect(stageCheck(1), stageResp, io.resp.ready, flush)
1686c4dcc2dSLemover  stageResp.ready := !stageResp.valid || io.resp.ready
1696d5ddbceSLemover
1706d5ddbceSLemover  // l1: level 0 non-leaf pte
1715854c1edSLemover  val l1 = Reg(Vec(l2tlbParams.l1Size, new PtwEntry(tagLen = PtwL1TagLen)))
1725854c1edSLemover  val l1v = RegInit(0.U(l2tlbParams.l1Size.W))
1735854c1edSLemover  val l1g = Reg(UInt(l2tlbParams.l1Size.W))
1741dd3e32dSHaoyuan Feng  val l1asids = l1.map(_.asid)
1756d5ddbceSLemover
1766d5ddbceSLemover  // l2: level 1 non-leaf pte
1776d5ddbceSLemover  val l2 = Module(new SRAMTemplate(
1787196f5a2SLemover    l2EntryType,
1795854c1edSLemover    set = l2tlbParams.l2nSets,
1805854c1edSLemover    way = l2tlbParams.l2nWays,
1815854c1edSLemover    singlePort = sramSinglePort
1826d5ddbceSLemover  ))
1835854c1edSLemover  val l2v = RegInit(0.U((l2tlbParams.l2nSets * l2tlbParams.l2nWays).W))
1845854c1edSLemover  val l2g = Reg(UInt((l2tlbParams.l2nSets * l2tlbParams.l2nWays).W))
18545f497a4Shappy-lx  val l2asids = Reg(Vec(l2tlbParams.l2nSets, Vec(l2tlbParams.l2nWays, UInt(AsidLength.W))))
1866d5ddbceSLemover  def getl2vSet(vpn: UInt) = {
1875854c1edSLemover    require(log2Up(l2tlbParams.l2nWays) == log2Down(l2tlbParams.l2nWays))
1886d5ddbceSLemover    val set = genPtwL2SetIdx(vpn)
1895854c1edSLemover    require(set.getWidth == log2Up(l2tlbParams.l2nSets))
1905854c1edSLemover    val l2vVec = l2v.asTypeOf(Vec(l2tlbParams.l2nSets, UInt(l2tlbParams.l2nWays.W)))
1916d5ddbceSLemover    l2vVec(set)
1926d5ddbceSLemover  }
19345f497a4Shappy-lx  def getl2asidSet(vpn: UInt) = {
19445f497a4Shappy-lx    require(log2Up(l2tlbParams.l2nWays) == log2Down(l2tlbParams.l2nWays))
19545f497a4Shappy-lx    val set = genPtwL2SetIdx(vpn)
19645f497a4Shappy-lx    require(set.getWidth == log2Up(l2tlbParams.l2nSets))
19745f497a4Shappy-lx    l2asids(set)
19845f497a4Shappy-lx  }
1996d5ddbceSLemover
2006d5ddbceSLemover  // l3: level 2 leaf pte of 4KB pages
2016d5ddbceSLemover  val l3 = Module(new SRAMTemplate(
2027196f5a2SLemover    l3EntryType,
2035854c1edSLemover    set = l2tlbParams.l3nSets,
2045854c1edSLemover    way = l2tlbParams.l3nWays,
2055854c1edSLemover    singlePort = sramSinglePort
2066d5ddbceSLemover  ))
2075854c1edSLemover  val l3v = RegInit(0.U((l2tlbParams.l3nSets * l2tlbParams.l3nWays).W))
2085854c1edSLemover  val l3g = Reg(UInt((l2tlbParams.l3nSets * l2tlbParams.l3nWays).W))
20945f497a4Shappy-lx  val l3asids = Reg(Vec(l2tlbParams.l3nSets, Vec(l2tlbParams.l3nWays, UInt(AsidLength.W))))
2106d5ddbceSLemover  def getl3vSet(vpn: UInt) = {
2115854c1edSLemover    require(log2Up(l2tlbParams.l3nWays) == log2Down(l2tlbParams.l3nWays))
2126d5ddbceSLemover    val set = genPtwL3SetIdx(vpn)
2135854c1edSLemover    require(set.getWidth == log2Up(l2tlbParams.l3nSets))
2145854c1edSLemover    val l3vVec = l3v.asTypeOf(Vec(l2tlbParams.l3nSets, UInt(l2tlbParams.l3nWays.W)))
2156d5ddbceSLemover    l3vVec(set)
2166d5ddbceSLemover  }
21745f497a4Shappy-lx  def getl3asidSet(vpn: UInt) = {
21845f497a4Shappy-lx    require(log2Up(l2tlbParams.l3nWays) == log2Down(l2tlbParams.l3nWays))
21945f497a4Shappy-lx    val set = genPtwL3SetIdx(vpn)
22045f497a4Shappy-lx    require(set.getWidth == log2Up(l2tlbParams.l3nSets))
22145f497a4Shappy-lx    l3asids(set)
22245f497a4Shappy-lx  }
2236d5ddbceSLemover
2246d5ddbceSLemover  // sp: level 0/1 leaf pte of 1GB/2MB super pages
2255854c1edSLemover  val sp = Reg(Vec(l2tlbParams.spSize, new PtwEntry(tagLen = SPTagLen, hasPerm = true, hasLevel = true)))
2265854c1edSLemover  val spv = RegInit(0.U(l2tlbParams.spSize.W))
2275854c1edSLemover  val spg = Reg(UInt(l2tlbParams.spSize.W))
2281dd3e32dSHaoyuan Feng  val spasids = sp.map(_.asid)
2296d5ddbceSLemover
2306d5ddbceSLemover  // Access Perf
2315854c1edSLemover  val l1AccessPerf = Wire(Vec(l2tlbParams.l1Size, Bool()))
2325854c1edSLemover  val l2AccessPerf = Wire(Vec(l2tlbParams.l2nWays, Bool()))
2335854c1edSLemover  val l3AccessPerf = Wire(Vec(l2tlbParams.l3nWays, Bool()))
2345854c1edSLemover  val spAccessPerf = Wire(Vec(l2tlbParams.spSize, Bool()))
2356d5ddbceSLemover  l1AccessPerf.map(_ := false.B)
2366d5ddbceSLemover  l2AccessPerf.map(_ := false.B)
2376d5ddbceSLemover  l3AccessPerf.map(_ := false.B)
2386d5ddbceSLemover  spAccessPerf.map(_ := false.B)
2396d5ddbceSLemover
2403889e11eSLemover
2411f4a7c0cSLemover
2421f4a7c0cSLemover  def vpn_match(vpn1: UInt, vpn2: UInt, level: Int) = {
2431f4a7c0cSLemover    vpn1(vpnnLen*3-1, vpnnLen*(2-level)+3) === vpn2(vpnnLen*3-1, vpnnLen*(2-level)+3)
2441f4a7c0cSLemover  }
2451f4a7c0cSLemover  // NOTE: not actually bypassed, just check if hit, re-access the page cache
2461f4a7c0cSLemover  def refill_bypass(vpn: UInt, level: Int) = {
2477797f035SbugGenerator    io.refill.valid && (level.U === io.refill.bits.level_dup(0)) && vpn_match(io.refill.bits.req_info_dup(0).vpn, vpn, level),
2481f4a7c0cSLemover  }
2491f4a7c0cSLemover
2506d5ddbceSLemover  // l1
2515854c1edSLemover  val ptwl1replace = ReplacementPolicy.fromString(l2tlbParams.l1Replacer, l2tlbParams.l1Size)
252bc063562SLemover  val (l1Hit, l1HitPPN, l1Pre) = {
2537797f035SbugGenerator    val hitVecT = l1.zipWithIndex.map { case (e, i) => e.hit(stageReq.bits.req_info.vpn, io.csr_dup(0).satp.asid) && l1v(i) }
2546c4dcc2dSLemover    val hitVec = hitVecT.map(RegEnable(_, stageReq.fire))
2551f4a7c0cSLemover
2561f4a7c0cSLemover    // stageDelay, but check for l1
2579c503409SLemover    val hitPPN = DataHoldBypass(ParallelPriorityMux(hitVec zip l1.map(_.ppn)), stageDelay_valid_1cycle)
2589c503409SLemover    val hitPre = DataHoldBypass(ParallelPriorityMux(hitVec zip l1.map(_.prefetch)), stageDelay_valid_1cycle)
2591f4a7c0cSLemover    val hit = DataHoldBypass(ParallelOR(hitVec), stageDelay_valid_1cycle)
2606d5ddbceSLemover
2616c4dcc2dSLemover    when (hit && stageDelay_valid_1cycle) { ptwl1replace.access(OHToUInt(hitVec)) }
2626d5ddbceSLemover
2636c4dcc2dSLemover    l1AccessPerf.zip(hitVec).map{ case (l, h) => l := h && stageDelay_valid_1cycle}
2645854c1edSLemover    for (i <- 0 until l2tlbParams.l1Size) {
2657797f035SbugGenerator      XSDebug(stageReq.fire, p"[l1] l1(${i.U}) ${l1(i)} hit:${l1(i).hit(stageReq.bits.req_info.vpn, io.csr_dup(0).satp.asid)}\n")
2666d5ddbceSLemover    }
2676c4dcc2dSLemover    XSDebug(stageReq.fire, p"[l1] l1v:${Binary(l1v)} hitVecT:${Binary(VecInit(hitVecT).asUInt)}\n")
2686c4dcc2dSLemover    XSDebug(stageDelay(0).valid, p"[l1] l1Hit:${hit} l1HitPPN:0x${Hexadecimal(hitPPN)} hitVec:${VecInit(hitVec).asUInt}\n")
2696d5ddbceSLemover
2706d5ddbceSLemover    VecInit(hitVecT).suggestName(s"l1_hitVecT")
2716d5ddbceSLemover    VecInit(hitVec).suggestName(s"l1_hitVec")
2726d5ddbceSLemover
2736c4dcc2dSLemover    // synchronize with other entries with RegEnable
2746c4dcc2dSLemover    (RegEnable(hit, stageDelay(1).fire),
2756c4dcc2dSLemover     RegEnable(hitPPN, stageDelay(1).fire),
2766c4dcc2dSLemover     RegEnable(hitPre, stageDelay(1).fire))
2776d5ddbceSLemover  }
2786d5ddbceSLemover
2796d5ddbceSLemover  // l2
2805854c1edSLemover  val ptwl2replace = ReplacementPolicy.fromString(l2tlbParams.l2Replacer,l2tlbParams.l2nWays,l2tlbParams.l2nSets)
281bc063562SLemover  val (l2Hit, l2HitPPN, l2Pre, l2eccError) = {
2826c4dcc2dSLemover    val ridx = genPtwL2SetIdx(stageReq.bits.req_info.vpn)
2836c4dcc2dSLemover    l2.io.r.req.valid := stageReq.fire
2846d5ddbceSLemover    l2.io.r.req.bits.apply(setIdx = ridx)
2857797f035SbugGenerator    val vVec_req = getl2vSet(stageReq.bits.req_info.vpn)
2866c4dcc2dSLemover
2876c4dcc2dSLemover    // delay one cycle after sram read
2887797f035SbugGenerator    val delay_vpn = stageDelay(0).bits.req_info.vpn
2896c4dcc2dSLemover    val data_resp = DataHoldBypass(l2.io.r.resp.data, stageDelay_valid_1cycle)
2907797f035SbugGenerator    val vVec_delay = RegEnable(vVec_req, stageReq.fire)
2917797f035SbugGenerator    val hitVec_delay = VecInit(data_resp.zip(vVec_delay.asBools).map { case (wayData, v) =>
2927797f035SbugGenerator      wayData.entries.hit(delay_vpn, io.csr_dup(1).satp.asid) && v })
2936c4dcc2dSLemover
2946c4dcc2dSLemover    // check hit and ecc
2956c4dcc2dSLemover    val check_vpn = stageCheck(0).bits.req_info.vpn
2966c4dcc2dSLemover    val ramDatas = RegEnable(data_resp, stageDelay(1).fire)
2978a0e4b2fSLemover    val vVec = RegEnable(vVec_delay, stageDelay(1).fire).asBools()
2986c4dcc2dSLemover
2997797f035SbugGenerator    val hitVec = RegEnable(hitVec_delay, stageDelay(1).fire)
3007196f5a2SLemover    val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas)
3017196f5a2SLemover    val hitWayData = hitWayEntry.entries
3026c4dcc2dSLemover    val hit = ParallelOR(hitVec)
303f3034303SHaoyuan Feng    val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l2nWays).map(_.U(log2Up(l2tlbParams.l2nWays).W)))
3043889e11eSLemover    val eccError = hitWayEntry.decode()
3057196f5a2SLemover
3066d5ddbceSLemover    ridx.suggestName(s"l2_ridx")
3076d5ddbceSLemover    ramDatas.suggestName(s"l2_ramDatas")
3086d5ddbceSLemover    hitVec.suggestName(s"l2_hitVec")
3096d5ddbceSLemover    hitWayData.suggestName(s"l2_hitWayData")
3106d5ddbceSLemover    hitWay.suggestName(s"l2_hitWay")
3116d5ddbceSLemover
3126c4dcc2dSLemover    when (hit && stageCheck_valid_1cycle) { ptwl2replace.access(genPtwL2SetIdx(check_vpn), hitWay) }
3136d5ddbceSLemover
3146c4dcc2dSLemover    l2AccessPerf.zip(hitVec).map{ case (l, h) => l := h && stageCheck_valid_1cycle }
3156c4dcc2dSLemover    XSDebug(stageDelay_valid_1cycle, p"[l2] ridx:0x${Hexadecimal(ridx)}\n")
3165854c1edSLemover    for (i <- 0 until l2tlbParams.l2nWays) {
3176c4dcc2dSLemover      XSDebug(stageCheck_valid_1cycle, p"[l2] ramDatas(${i.U}) ${ramDatas(i)}  l2v:${vVec(i)}  hit:${hit}\n")
3186d5ddbceSLemover    }
3196c4dcc2dSLemover    XSDebug(stageCheck_valid_1cycle, p"[l2] l2Hit:${hit} l2HitPPN:0x${Hexadecimal(hitWayData.ppns(genPtwL2SectorIdx(check_vpn)))} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} vidx:${vVec}\n")
3206d5ddbceSLemover
3216c4dcc2dSLemover    (hit, hitWayData.ppns(genPtwL2SectorIdx(check_vpn)), hitWayData.prefetch, eccError)
3226d5ddbceSLemover  }
3236d5ddbceSLemover
3246d5ddbceSLemover  // l3
3255854c1edSLemover  val ptwl3replace = ReplacementPolicy.fromString(l2tlbParams.l3Replacer,l2tlbParams.l3nWays,l2tlbParams.l3nSets)
326bc063562SLemover  val (l3Hit, l3HitData, l3Pre, l3eccError) = {
3276c4dcc2dSLemover    val ridx = genPtwL3SetIdx(stageReq.bits.req_info.vpn)
3286c4dcc2dSLemover    l3.io.r.req.valid := stageReq.fire
3296d5ddbceSLemover    l3.io.r.req.bits.apply(setIdx = ridx)
3307797f035SbugGenerator    val vVec_req = getl3vSet(stageReq.bits.req_info.vpn)
3316c4dcc2dSLemover
3326c4dcc2dSLemover    // delay one cycle after sram read
3337797f035SbugGenerator    val delay_vpn = stageDelay(0).bits.req_info.vpn
3346c4dcc2dSLemover    val data_resp = DataHoldBypass(l3.io.r.resp.data, stageDelay_valid_1cycle)
3357797f035SbugGenerator    val vVec_delay = RegEnable(vVec_req, stageReq.fire)
3367797f035SbugGenerator    val hitVec_delay = VecInit(data_resp.zip(vVec_delay.asBools).map { case (wayData, v) =>
3377797f035SbugGenerator      wayData.entries.hit(delay_vpn, io.csr_dup(2).satp.asid) && v })
3386c4dcc2dSLemover
3396c4dcc2dSLemover    // check hit and ecc
3406c4dcc2dSLemover    val check_vpn = stageCheck(0).bits.req_info.vpn
3416c4dcc2dSLemover    val ramDatas = RegEnable(data_resp, stageDelay(1).fire)
3428a0e4b2fSLemover    val vVec = RegEnable(vVec_delay, stageDelay(1).fire).asBools()
3436c4dcc2dSLemover
3447797f035SbugGenerator    val hitVec = RegEnable(hitVec_delay, stageDelay(1).fire)
3457196f5a2SLemover    val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas)
3467196f5a2SLemover    val hitWayData = hitWayEntry.entries
3477196f5a2SLemover    val hitWayEcc = hitWayEntry.ecc
3486c4dcc2dSLemover    val hit = ParallelOR(hitVec)
349f3034303SHaoyuan Feng    val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l3nWays).map(_.U(log2Up(l2tlbParams.l3nWays).W)))
3503889e11eSLemover    val eccError = hitWayEntry.decode()
3516d5ddbceSLemover
3526c4dcc2dSLemover    when (hit && stageCheck_valid_1cycle) { ptwl3replace.access(genPtwL3SetIdx(check_vpn), hitWay) }
3537196f5a2SLemover
3546c4dcc2dSLemover    l3AccessPerf.zip(hitVec).map{ case (l, h) => l := h && stageCheck_valid_1cycle }
3556c4dcc2dSLemover    XSDebug(stageReq.fire, p"[l3] ridx:0x${Hexadecimal(ridx)}\n")
3565854c1edSLemover    for (i <- 0 until l2tlbParams.l3nWays) {
3576c4dcc2dSLemover      XSDebug(stageCheck_valid_1cycle, p"[l3] ramDatas(${i.U}) ${ramDatas(i)}  l3v:${vVec(i)}  hit:${hitVec(i)}\n")
3586d5ddbceSLemover    }
3596c4dcc2dSLemover    XSDebug(stageCheck_valid_1cycle, p"[l3] l3Hit:${hit} l3HitData:${hitWayData} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} v:${vVec}\n")
3606d5ddbceSLemover
3616d5ddbceSLemover    ridx.suggestName(s"l3_ridx")
3626d5ddbceSLemover    ramDatas.suggestName(s"l3_ramDatas")
3636d5ddbceSLemover    hitVec.suggestName(s"l3_hitVec")
3646d5ddbceSLemover    hitWay.suggestName(s"l3_hitWay")
3656d5ddbceSLemover
3663889e11eSLemover    (hit, hitWayData, hitWayData.prefetch, eccError)
3676d5ddbceSLemover  }
368*63632028SHaoyuan Feng  val l3HitPPN = l3HitData.ppns
369*63632028SHaoyuan Feng  val l3HitPerm = l3HitData.perms.getOrElse(0.U.asTypeOf(Vec(PtwL3SectorSize, new PtePermBundle)))
370*63632028SHaoyuan Feng  val l3HitValid = l3HitData.vs
3716d5ddbceSLemover
3726d5ddbceSLemover  // super page
3735854c1edSLemover  val spreplace = ReplacementPolicy.fromString(l2tlbParams.spReplacer, l2tlbParams.spSize)
3748d8ac704SLemover  val (spHit, spHitData, spPre, spValid) = {
3757797f035SbugGenerator    val hitVecT = sp.zipWithIndex.map { case (e, i) => e.hit(stageReq.bits.req_info.vpn, io.csr_dup(0).satp.asid) && spv(i) }
3766c4dcc2dSLemover    val hitVec = hitVecT.map(RegEnable(_, stageReq.fire))
3776d5ddbceSLemover    val hitData = ParallelPriorityMux(hitVec zip sp)
3786c4dcc2dSLemover    val hit = ParallelOR(hitVec)
3796d5ddbceSLemover
3806c4dcc2dSLemover    when (hit && stageDelay_valid_1cycle) { spreplace.access(OHToUInt(hitVec)) }
3816d5ddbceSLemover
3826c4dcc2dSLemover    spAccessPerf.zip(hitVec).map{ case (s, h) => s := h && stageDelay_valid_1cycle }
3835854c1edSLemover    for (i <- 0 until l2tlbParams.spSize) {
3847797f035SbugGenerator      XSDebug(stageReq.fire, p"[sp] sp(${i.U}) ${sp(i)} hit:${sp(i).hit(stageReq.bits.req_info.vpn, io.csr_dup(0).satp.asid)} spv:${spv(i)}\n")
3856d5ddbceSLemover    }
3866c4dcc2dSLemover    XSDebug(stageDelay_valid_1cycle, p"[sp] spHit:${hit} spHitData:${hitData} hitVec:${Binary(VecInit(hitVec).asUInt)}\n")
3876d5ddbceSLemover
3886d5ddbceSLemover    VecInit(hitVecT).suggestName(s"sp_hitVecT")
3896d5ddbceSLemover    VecInit(hitVec).suggestName(s"sp_hitVec")
3906d5ddbceSLemover
3916c4dcc2dSLemover    (RegEnable(hit, stageDelay(1).fire),
3926c4dcc2dSLemover     RegEnable(hitData, stageDelay(1).fire),
3936c4dcc2dSLemover     RegEnable(hitData.prefetch, stageDelay(1).fire),
3946c4dcc2dSLemover     RegEnable(hitData.v, stageDelay(1).fire()))
3956d5ddbceSLemover  }
3966d5ddbceSLemover  val spHitPerm = spHitData.perm.getOrElse(0.U.asTypeOf(new PtePermBundle))
3976d5ddbceSLemover  val spHitLevel = spHitData.level.getOrElse(0.U)
3986d5ddbceSLemover
3996c4dcc2dSLemover  val check_res = Wire(new PageCacheRespBundle)
4006c4dcc2dSLemover  check_res.l1.apply(l1Hit, l1Pre, l1HitPPN)
4016c4dcc2dSLemover  check_res.l2.apply(l2Hit, l2Pre, l2HitPPN, ecc = l2eccError)
4021f4a7c0cSLemover  check_res.l3.apply(l3Hit, l3Pre, l3HitPPN, l3HitPerm, l3eccError, valid = l3HitValid)
4036c4dcc2dSLemover  check_res.sp.apply(spHit, spPre, spHitData.ppn, spHitPerm, false.B, spHitLevel, spValid)
4046d5ddbceSLemover
4056c4dcc2dSLemover  val resp_res = Reg(new PageCacheRespBundle)
4066c4dcc2dSLemover  when (stageCheck(1).fire) { resp_res := check_res }
4073889e11eSLemover
4081f4a7c0cSLemover  // stageResp bypass
4091f4a7c0cSLemover  val bypassed = Wire(Vec(3, Bool()))
4101f4a7c0cSLemover  bypassed.indices.foreach(i =>
4111f4a7c0cSLemover    bypassed(i) := stageResp.bits.bypassed(i) ||
4121f4a7c0cSLemover      ValidHoldBypass(refill_bypass(stageResp.bits.req_info.vpn, i),
4131f4a7c0cSLemover        OneCycleValid(stageCheck(1).fire, false.B) || io.refill.valid)
4141f4a7c0cSLemover  )
4151f4a7c0cSLemover
4166c4dcc2dSLemover  io.resp.bits.req_info   := stageResp.bits.req_info
4176c4dcc2dSLemover  io.resp.bits.isFirst  := stageResp.bits.isFirst
4186c4dcc2dSLemover  io.resp.bits.hit      := resp_res.l3.hit || resp_res.sp.hit
4191f4a7c0cSLemover  io.resp.bits.bypassed := bypassed(2) || (bypassed(1) && !resp_res.l2.hit) || (bypassed(0) && !resp_res.l1.hit)
4206c4dcc2dSLemover  io.resp.bits.prefetch := resp_res.l3.pre && resp_res.l3.hit || resp_res.sp.pre && resp_res.sp.hit
4216c4dcc2dSLemover  io.resp.bits.toFsm.l1Hit := resp_res.l1.hit
4226c4dcc2dSLemover  io.resp.bits.toFsm.l2Hit := resp_res.l2.hit
4236c4dcc2dSLemover  io.resp.bits.toFsm.ppn   := Mux(resp_res.l2.hit, resp_res.l2.ppn, resp_res.l1.ppn)
424*63632028SHaoyuan Feng  io.resp.bits.toTlb.entry.map(_.tag := stageResp.bits.req_info.vpn(vpnLen - 1, 3))
425*63632028SHaoyuan Feng  io.resp.bits.toTlb.entry.map(_.asid := io.csr_dup(0).satp.asid) // DontCare
426*63632028SHaoyuan Feng  io.resp.bits.toTlb.entry.map(_.level.map(_ := Mux(resp_res.l3.hit, 2.U, resp_res.sp.level)))
427*63632028SHaoyuan Feng  io.resp.bits.toTlb.entry.map(_.prefetch := from_pre(stageResp.bits.req_info.source))
428*63632028SHaoyuan Feng  for (i <- 0 until tlbcontiguous) {
429*63632028SHaoyuan Feng    io.resp.bits.toTlb.entry(i).ppn := Mux(resp_res.l3.hit, resp_res.l3.ppn(i)(ppnLen - 1, sectortlbwidth), resp_res.sp.ppn(ppnLen - 1, sectortlbwidth))
430*63632028SHaoyuan Feng    io.resp.bits.toTlb.entry(i).ppn_low := Mux(resp_res.l3.hit, resp_res.l3.ppn(i)(sectortlbwidth - 1, 0), resp_res.sp.ppn(sectortlbwidth - 1, 0))
431*63632028SHaoyuan Feng    io.resp.bits.toTlb.entry(i).perm.map(_ := Mux(resp_res.l3.hit, resp_res.l3.perm(i), resp_res.sp.perm))
432*63632028SHaoyuan Feng    io.resp.bits.toTlb.entry(i).v := Mux(resp_res.l3.hit, resp_res.l3.v(i), resp_res.sp.v)
433*63632028SHaoyuan Feng    io.resp.bits.toTlb.entry(i).pf := !io.resp.bits.toTlb.entry(i).v
434*63632028SHaoyuan Feng    io.resp.bits.toTlb.entry(i).af := false.B
435*63632028SHaoyuan Feng  }
436*63632028SHaoyuan Feng  io.resp.bits.toTlb.pteidx := UIntToOH(stageResp.bits.req_info.vpn(2, 0)).asBools
437*63632028SHaoyuan Feng  io.resp.bits.toTlb.not_super := Mux(resp_res.l3.hit, true.B, false.B)
4386c4dcc2dSLemover  io.resp.valid := stageResp.valid
4396c4dcc2dSLemover  XSError(stageResp.valid && resp_res.l3.hit && resp_res.sp.hit, "normal page and super page both hit")
4401f4a7c0cSLemover  XSError(stageResp.valid && io.resp.bits.hit && bypassed(2), "page cache, bypassed but hit")
4416d5ddbceSLemover
4426d5ddbceSLemover  // refill Perf
4435854c1edSLemover  val l1RefillPerf = Wire(Vec(l2tlbParams.l1Size, Bool()))
4445854c1edSLemover  val l2RefillPerf = Wire(Vec(l2tlbParams.l2nWays, Bool()))
4455854c1edSLemover  val l3RefillPerf = Wire(Vec(l2tlbParams.l3nWays, Bool()))
4465854c1edSLemover  val spRefillPerf = Wire(Vec(l2tlbParams.spSize, Bool()))
4476d5ddbceSLemover  l1RefillPerf.map(_ := false.B)
4486d5ddbceSLemover  l2RefillPerf.map(_ := false.B)
4496d5ddbceSLemover  l3RefillPerf.map(_ := false.B)
4506d5ddbceSLemover  spRefillPerf.map(_ := false.B)
4516d5ddbceSLemover
4526d5ddbceSLemover  // refill
4536d5ddbceSLemover  l2.io.w.req <> DontCare
4546d5ddbceSLemover  l3.io.w.req <> DontCare
4556d5ddbceSLemover  l2.io.w.req.valid := false.B
4566d5ddbceSLemover  l3.io.w.req.valid := false.B
4576d5ddbceSLemover
4586d5ddbceSLemover  val memRdata = refill.ptes
4595854c1edSLemover  val memPtes = (0 until (l2tlbParams.blockBytes/(XLEN/8))).map(i => memRdata((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle))
4607797f035SbugGenerator  val memSelData = io.refill.bits.sel_pte_dup
4617797f035SbugGenerator  val memPte = memSelData.map(a => a.asTypeOf(new PteBundle))
462b848eea5SLemover
4636d5ddbceSLemover  // TODO: handle sfenceLatch outsize
4640d94d540SHaoyuan Feng  when (!flush_dup(0) && refill.levelOH.l1 && !memPte(0).isLeaf() && !memPte(0).isPf(refill.level_dup(0)) && !memPte(0).isAf()) {
4655854c1edSLemover    // val refillIdx = LFSR64()(log2Up(l2tlbParams.l1Size)-1,0) // TODO: may be LRU
4666d5ddbceSLemover    val refillIdx = replaceWrapper(l1v, ptwl1replace.way)
4676d5ddbceSLemover    refillIdx.suggestName(s"PtwL1RefillIdx")
4686d5ddbceSLemover    val rfOH = UIntToOH(refillIdx)
46945f497a4Shappy-lx    l1(refillIdx).refill(
4707797f035SbugGenerator      refill.req_info_dup(0).vpn,
4717797f035SbugGenerator      io.csr_dup(0).satp.asid,
4727797f035SbugGenerator      memSelData(0),
47345f497a4Shappy-lx      0.U,
4747797f035SbugGenerator      refill_prefetch_dup(0)
47545f497a4Shappy-lx    )
4766d5ddbceSLemover    ptwl1replace.access(refillIdx)
4776d5ddbceSLemover    l1v := l1v | rfOH
4787797f035SbugGenerator    l1g := (l1g & ~rfOH) | Mux(memPte(0).perm.g, rfOH, 0.U)
4796d5ddbceSLemover
4805854c1edSLemover    for (i <- 0 until l2tlbParams.l1Size) {
4816d5ddbceSLemover      l1RefillPerf(i) := i.U === refillIdx
4826d5ddbceSLemover    }
4836d5ddbceSLemover
4847797f035SbugGenerator    XSDebug(p"[l1 refill] refillIdx:${refillIdx} refillEntry:${l1(refillIdx).genPtwEntry(refill.req_info_dup(0).vpn, io.csr_dup(0).satp.asid, memSelData(0), 0.U, prefetch = refill_prefetch_dup(0))}\n")
4857797f035SbugGenerator    XSDebug(p"[l1 refill] l1v:${Binary(l1v)}->${Binary(l1v | rfOH)} l1g:${Binary(l1g)}->${Binary((l1g & ~rfOH) | Mux(memPte(0).perm.g, rfOH, 0.U))}\n")
4866d5ddbceSLemover
4876d5ddbceSLemover    refillIdx.suggestName(s"l1_refillIdx")
4886d5ddbceSLemover    rfOH.suggestName(s"l1_rfOH")
4896d5ddbceSLemover  }
4906d5ddbceSLemover
4910d94d540SHaoyuan Feng  when (!flush_dup(1) && refill.levelOH.l2 && !memPte(1).isLeaf() && !memPte(1).isPf(refill.level_dup(1)) && !memPte(1).isAf()) {
4927797f035SbugGenerator    val refillIdx = genPtwL2SetIdx(refill.req_info_dup(1).vpn)
4937797f035SbugGenerator    val victimWay = replaceWrapper(getl2vSet(refill.req_info_dup(1).vpn), ptwl2replace.way(refillIdx))
4946d5ddbceSLemover    val victimWayOH = UIntToOH(victimWay)
4956d5ddbceSLemover    val rfvOH = UIntToOH(Cat(refillIdx, victimWay))
4967196f5a2SLemover    val wdata = Wire(l2EntryType)
4973889e11eSLemover    wdata.gen(
4987797f035SbugGenerator      vpn = refill.req_info_dup(1).vpn,
4997797f035SbugGenerator      asid = io.csr_dup(1).satp.asid,
50045f497a4Shappy-lx      data = memRdata,
50145f497a4Shappy-lx      levelUInt = 1.U,
5027797f035SbugGenerator      refill_prefetch_dup(1)
50345f497a4Shappy-lx    )
5046d5ddbceSLemover    l2.io.w.apply(
5056d5ddbceSLemover      valid = true.B,
5066d5ddbceSLemover      setIdx = refillIdx,
5077196f5a2SLemover      data = wdata,
5086d5ddbceSLemover      waymask = victimWayOH
5096d5ddbceSLemover    )
5106d5ddbceSLemover    ptwl2replace.access(refillIdx, victimWay)
5116d5ddbceSLemover    l2v := l2v | rfvOH
5126d5ddbceSLemover    l2g := l2g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U)
5136d5ddbceSLemover
5145854c1edSLemover    for (i <- 0 until l2tlbParams.l2nWays) {
5156d5ddbceSLemover      l2RefillPerf(i) := i.U === victimWay
5166d5ddbceSLemover    }
5176d5ddbceSLemover
5186d5ddbceSLemover    XSDebug(p"[l2 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n")
51945f497a4Shappy-lx    XSDebug(p"[l2 refill] refilldata:0x${wdata}\n")
5206d5ddbceSLemover    XSDebug(p"[l2 refill] l2v:${Binary(l2v)} -> ${Binary(l2v | rfvOH)}\n")
5216d5ddbceSLemover    XSDebug(p"[l2 refill] l2g:${Binary(l2g)} -> ${Binary(l2g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n")
5226d5ddbceSLemover
5236d5ddbceSLemover    refillIdx.suggestName(s"l2_refillIdx")
5246d5ddbceSLemover    victimWay.suggestName(s"l2_victimWay")
5256d5ddbceSLemover    victimWayOH.suggestName(s"l2_victimWayOH")
5266d5ddbceSLemover    rfvOH.suggestName(s"l2_rfvOH")
5276d5ddbceSLemover  }
5286d5ddbceSLemover
5290d94d540SHaoyuan Feng  when (!flush_dup(2) && refill.levelOH.l3 && !memPte(2).isAf()) {
5307797f035SbugGenerator    val refillIdx = genPtwL3SetIdx(refill.req_info_dup(2).vpn)
5317797f035SbugGenerator    val victimWay = replaceWrapper(getl3vSet(refill.req_info_dup(2).vpn), ptwl3replace.way(refillIdx))
5326d5ddbceSLemover    val victimWayOH = UIntToOH(victimWay)
5336d5ddbceSLemover    val rfvOH = UIntToOH(Cat(refillIdx, victimWay))
5347196f5a2SLemover    val wdata = Wire(l3EntryType)
5353889e11eSLemover    wdata.gen(
5367797f035SbugGenerator      vpn = refill.req_info_dup(2).vpn,
5377797f035SbugGenerator      asid = io.csr_dup(2).satp.asid,
53845f497a4Shappy-lx      data = memRdata,
53945f497a4Shappy-lx      levelUInt = 2.U,
5407797f035SbugGenerator      refill_prefetch_dup(2)
54145f497a4Shappy-lx    )
5426d5ddbceSLemover    l3.io.w.apply(
5436d5ddbceSLemover      valid = true.B,
5446d5ddbceSLemover      setIdx = refillIdx,
5457196f5a2SLemover      data = wdata,
5466d5ddbceSLemover      waymask = victimWayOH
5476d5ddbceSLemover    )
5486d5ddbceSLemover    ptwl3replace.access(refillIdx, victimWay)
5496d5ddbceSLemover    l3v := l3v | rfvOH
5506d5ddbceSLemover    l3g := l3g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U)
5516d5ddbceSLemover
5525854c1edSLemover    for (i <- 0 until l2tlbParams.l3nWays) {
5536d5ddbceSLemover      l3RefillPerf(i) := i.U === victimWay
5546d5ddbceSLemover    }
5556d5ddbceSLemover
5566d5ddbceSLemover    XSDebug(p"[l3 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n")
55745f497a4Shappy-lx    XSDebug(p"[l3 refill] refilldata:0x${wdata}\n")
5586d5ddbceSLemover    XSDebug(p"[l3 refill] l3v:${Binary(l3v)} -> ${Binary(l3v | rfvOH)}\n")
5596d5ddbceSLemover    XSDebug(p"[l3 refill] l3g:${Binary(l3g)} -> ${Binary(l3g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n")
5606d5ddbceSLemover
5616d5ddbceSLemover    refillIdx.suggestName(s"l3_refillIdx")
5626d5ddbceSLemover    victimWay.suggestName(s"l3_victimWay")
5636d5ddbceSLemover    victimWayOH.suggestName(s"l3_victimWayOH")
5646d5ddbceSLemover    rfvOH.suggestName(s"l3_rfvOH")
5656d5ddbceSLemover  }
5667797f035SbugGenerator
5678d8ac704SLemover
5688d8ac704SLemover  // misc entries: super & invalid
5690d94d540SHaoyuan Feng  when (!flush_dup(0) && refill.levelOH.sp && (memPte(0).isLeaf() || memPte(0).isPf(refill.level_dup(0))) && !memPte(0).isAf()) {
5705854c1edSLemover    val refillIdx = spreplace.way// LFSR64()(log2Up(l2tlbParams.spSize)-1,0) // TODO: may be LRU
5716d5ddbceSLemover    val rfOH = UIntToOH(refillIdx)
57245f497a4Shappy-lx    sp(refillIdx).refill(
5737797f035SbugGenerator      refill.req_info_dup(0).vpn,
5747797f035SbugGenerator      io.csr_dup(0).satp.asid,
5757797f035SbugGenerator      memSelData(0),
5767797f035SbugGenerator      refill.level_dup(2),
5777797f035SbugGenerator      refill_prefetch_dup(0),
5787797f035SbugGenerator      !memPte(0).isPf(refill.level_dup(0)),
57945f497a4Shappy-lx    )
5806d5ddbceSLemover    spreplace.access(refillIdx)
5816d5ddbceSLemover    spv := spv | rfOH
5827797f035SbugGenerator    spg := spg & ~rfOH | Mux(memPte(0).perm.g, rfOH, 0.U)
5836d5ddbceSLemover
5845854c1edSLemover    for (i <- 0 until l2tlbParams.spSize) {
5856d5ddbceSLemover      spRefillPerf(i) := i.U === refillIdx
5866d5ddbceSLemover    }
5876d5ddbceSLemover
5887797f035SbugGenerator    XSDebug(p"[sp refill] refillIdx:${refillIdx} refillEntry:${sp(refillIdx).genPtwEntry(refill.req_info_dup(0).vpn, io.csr_dup(0).satp.asid, memSelData(0), refill.level_dup(0), refill_prefetch_dup(0))}\n")
5897797f035SbugGenerator    XSDebug(p"[sp refill] spv:${Binary(spv)}->${Binary(spv | rfOH)} spg:${Binary(spg)}->${Binary(spg & ~rfOH | Mux(memPte(0).perm.g, rfOH, 0.U))}\n")
5906d5ddbceSLemover
5916d5ddbceSLemover    refillIdx.suggestName(s"sp_refillIdx")
5926d5ddbceSLemover    rfOH.suggestName(s"sp_rfOH")
5936d5ddbceSLemover  }
5946d5ddbceSLemover
5957797f035SbugGenerator  val l2eccFlush = resp_res.l2.ecc && stageResp_valid_1cycle_dup(0) // RegNext(l2eccError, init = false.B)
5967797f035SbugGenerator  val l3eccFlush = resp_res.l3.ecc && stageResp_valid_1cycle_dup(1) // RegNext(l3eccError, init = false.B)
5976c4dcc2dSLemover  val eccVpn = stageResp.bits.req_info.vpn
5987196f5a2SLemover
5996c4dcc2dSLemover  XSError(l2eccFlush, "l2tlb.cache.l2 ecc error. Should not happen at sim stage")
6006c4dcc2dSLemover  XSError(l3eccFlush, "l2tlb.cache.l3 ecc error. Should not happen at sim stage")
6017196f5a2SLemover  when (l2eccFlush) {
6027196f5a2SLemover    val flushSetIdxOH = UIntToOH(genPtwL2SetIdx(eccVpn))
6037196f5a2SLemover    val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l2nWays, a.asUInt) }).asUInt
6047196f5a2SLemover    l2v := l2v & ~flushMask
6057196f5a2SLemover    l2g := l2g & ~flushMask
6067196f5a2SLemover  }
6077196f5a2SLemover
6087196f5a2SLemover  when (l3eccFlush) {
6097196f5a2SLemover    val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(eccVpn))
6107196f5a2SLemover    val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt
6117196f5a2SLemover    l3v := l3v & ~flushMask
6127196f5a2SLemover    l3g := l3g & ~flushMask
6137196f5a2SLemover  }
6147196f5a2SLemover
6156d5ddbceSLemover  // sfence
6167797f035SbugGenerator  when (sfence_dup(3).valid) {
6177797f035SbugGenerator    val sfence_vpn = sfence_dup(3).bits.addr(sfence_dup(3).bits.addr.getWidth-1, offLen)
61845f497a4Shappy-lx
6197797f035SbugGenerator    when (sfence_dup(3).bits.rs1/*va*/) {
6207797f035SbugGenerator      when (sfence_dup(3).bits.rs2) {
6217797f035SbugGenerator        // all va && all asid
6227797f035SbugGenerator        l3v := 0.U
6237797f035SbugGenerator      } .otherwise {
6247797f035SbugGenerator        // all va && specific asid except global
6257797f035SbugGenerator        l3v := l3v & l3g
6267797f035SbugGenerator      }
6277797f035SbugGenerator    } .otherwise {
6287797f035SbugGenerator      // val flushMask = UIntToOH(genTlbL2Idx(sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen)))
6297797f035SbugGenerator      val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(sfence_vpn))
6307797f035SbugGenerator      // val flushMask = VecInit(flushSetIdxOH.asBools.map(Fill(l2tlbParams.l3nWays, _.asUInt))).asUInt
6317797f035SbugGenerator      val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt
6327797f035SbugGenerator      flushSetIdxOH.suggestName(s"sfence_nrs1_flushSetIdxOH")
6337797f035SbugGenerator      flushMask.suggestName(s"sfence_nrs1_flushMask")
6347797f035SbugGenerator
6357797f035SbugGenerator      when (sfence_dup(3).bits.rs2) {
6367797f035SbugGenerator        // specific leaf of addr && all asid
6377797f035SbugGenerator        l3v := l3v & ~flushMask
6387797f035SbugGenerator      } .otherwise {
6397797f035SbugGenerator        // specific leaf of addr && specific asid
6407797f035SbugGenerator        l3v := l3v & (~flushMask | l3g)
6417797f035SbugGenerator      }
6427797f035SbugGenerator    }
6437797f035SbugGenerator  }
6447797f035SbugGenerator
6457797f035SbugGenerator  when (sfence_dup(0).valid) {
6467797f035SbugGenerator    val l1asidhit = VecInit(l1asids.map(_ === sfence_dup(0).bits.asid)).asUInt
6477797f035SbugGenerator    val spasidhit = VecInit(spasids.map(_ === sfence_dup(0).bits.asid)).asUInt
6487797f035SbugGenerator    val sfence_vpn = sfence_dup(0).bits.addr(sfence_dup(0).bits.addr.getWidth-1, offLen)
6497797f035SbugGenerator
6507797f035SbugGenerator    when (sfence_dup(0).bits.rs1/*va*/) {
6517797f035SbugGenerator      when (sfence_dup(0).bits.rs2) {
6526d5ddbceSLemover        // all va && all asid
6536d5ddbceSLemover        l1v := 0.U
6546d5ddbceSLemover        l2v := 0.U
6556d5ddbceSLemover        spv := 0.U
6566d5ddbceSLemover      } .otherwise {
6576d5ddbceSLemover        // all va && specific asid except global
65845f497a4Shappy-lx
65945f497a4Shappy-lx        l1v := l1v & (~l1asidhit | l1g)
6606d5ddbceSLemover        l2v := l2v & l2g
66145f497a4Shappy-lx        spv := spv & (~spasidhit | spg)
6626d5ddbceSLemover      }
6636d5ddbceSLemover    } .otherwise {
6646d5ddbceSLemover      // val flushMask = UIntToOH(genTlbL2Idx(sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen)))
66545f497a4Shappy-lx      val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(sfence_vpn))
6665854c1edSLemover      // val flushMask = VecInit(flushSetIdxOH.asBools.map(Fill(l2tlbParams.l3nWays, _.asUInt))).asUInt
6675854c1edSLemover      val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt
6686d5ddbceSLemover      flushSetIdxOH.suggestName(s"sfence_nrs1_flushSetIdxOH")
6696d5ddbceSLemover      flushMask.suggestName(s"sfence_nrs1_flushMask")
67045f497a4Shappy-lx
6717797f035SbugGenerator      when (sfence_dup(0).bits.rs2) {
6726d5ddbceSLemover        // specific leaf of addr && all asid
67342a7f20fSbugGenerator        spv := spv & (~VecInit(sp.map(_.hit(sfence_vpn, sfence_dup(0).bits.asid, ignoreAsid = true))).asUInt)
6746d5ddbceSLemover      } .otherwise {
6756d5ddbceSLemover        // specific leaf of addr && specific asid
6767797f035SbugGenerator        spv := spv & (~VecInit(sp.map(_.hit(sfence_vpn, sfence_dup(0).bits.asid))).asUInt | spg)
6776d5ddbceSLemover      }
6786d5ddbceSLemover    }
6796d5ddbceSLemover  }
6806d5ddbceSLemover
6817797f035SbugGenerator  def InsideStageConnect(in: DecoupledIO[PtwCacheReq], out: DecoupledIO[PtwCacheReq], inFire: Bool): Unit = {
6822c86e165SZhangZifei    in.ready := !in.valid || out.ready
6832c86e165SZhangZifei    out.valid := in.valid
6842c86e165SZhangZifei    out.bits := in.bits
6851f4a7c0cSLemover    out.bits.bypassed.zip(in.bits.bypassed).zipWithIndex.map{ case (b, i) =>
6867797f035SbugGenerator      val bypassed_reg = Reg(Bool())
6877797f035SbugGenerator      val bypassed_wire = refill_bypass(in.bits.req_info.vpn, i) && io.refill.valid
6887797f035SbugGenerator      when (inFire) { bypassed_reg := bypassed_wire }
6897797f035SbugGenerator      .elsewhen (io.refill.valid) { bypassed_reg := bypassed_reg || bypassed_wire }
6907797f035SbugGenerator
6917797f035SbugGenerator      b._1 := b._2 || (bypassed_wire || (bypassed_reg && !inFire))
6921f4a7c0cSLemover    }
6932c86e165SZhangZifei  }
6942c86e165SZhangZifei
6956d5ddbceSLemover  // Perf Count
6966c4dcc2dSLemover  val resp_l3 = resp_res.l3.hit
6976c4dcc2dSLemover  val resp_sp = resp_res.sp.hit
6986c4dcc2dSLemover  val resp_l1_pre = resp_res.l1.pre
6996c4dcc2dSLemover  val resp_l2_pre = resp_res.l2.pre
7006c4dcc2dSLemover  val resp_l3_pre = resp_res.l3.pre
7016c4dcc2dSLemover  val resp_sp_pre = resp_res.sp.pre
70245f497a4Shappy-lx  val base_valid_access_0 = !from_pre(io.resp.bits.req_info.source) && io.resp.fire()
703bc063562SLemover  XSPerfAccumulate("access", base_valid_access_0)
704bc063562SLemover  XSPerfAccumulate("l1_hit", base_valid_access_0 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
705bc063562SLemover  XSPerfAccumulate("l2_hit", base_valid_access_0 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
706bc063562SLemover  XSPerfAccumulate("l3_hit", base_valid_access_0 && resp_l3)
707bc063562SLemover  XSPerfAccumulate("sp_hit", base_valid_access_0 && resp_sp)
708bc063562SLemover  XSPerfAccumulate("pte_hit",base_valid_access_0 && io.resp.bits.hit)
709bc063562SLemover
710bc063562SLemover  XSPerfAccumulate("l1_hit_pre", base_valid_access_0 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
711bc063562SLemover  XSPerfAccumulate("l2_hit_pre", base_valid_access_0 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
712bc063562SLemover  XSPerfAccumulate("l3_hit_pre", base_valid_access_0 && resp_l3_pre && resp_l3)
713bc063562SLemover  XSPerfAccumulate("sp_hit_pre", base_valid_access_0 && resp_sp_pre && resp_sp)
714bc063562SLemover  XSPerfAccumulate("pte_hit_pre",base_valid_access_0 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
715bc063562SLemover
71645f497a4Shappy-lx  val base_valid_access_1 = from_pre(io.resp.bits.req_info.source) && io.resp.fire()
717bc063562SLemover  XSPerfAccumulate("pre_access", base_valid_access_1)
718bc063562SLemover  XSPerfAccumulate("pre_l1_hit", base_valid_access_1 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
719bc063562SLemover  XSPerfAccumulate("pre_l2_hit", base_valid_access_1 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
720bc063562SLemover  XSPerfAccumulate("pre_l3_hit", base_valid_access_1 && resp_l3)
721bc063562SLemover  XSPerfAccumulate("pre_sp_hit", base_valid_access_1 && resp_sp)
722bc063562SLemover  XSPerfAccumulate("pre_pte_hit",base_valid_access_1 && io.resp.bits.hit)
723bc063562SLemover
724bc063562SLemover  XSPerfAccumulate("pre_l1_hit_pre", base_valid_access_1 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
725bc063562SLemover  XSPerfAccumulate("pre_l2_hit_pre", base_valid_access_1 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
726bc063562SLemover  XSPerfAccumulate("pre_l3_hit_pre", base_valid_access_1 && resp_l3_pre && resp_l3)
727bc063562SLemover  XSPerfAccumulate("pre_sp_hit_pre", base_valid_access_1 && resp_sp_pre && resp_sp)
728bc063562SLemover  XSPerfAccumulate("pre_pte_hit_pre",base_valid_access_1 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
729bc063562SLemover
7306c4dcc2dSLemover  val base_valid_access_2 = stageResp.bits.isFirst && !from_pre(io.resp.bits.req_info.source) && io.resp.fire()
731bc063562SLemover  XSPerfAccumulate("access_first", base_valid_access_2)
732bc063562SLemover  XSPerfAccumulate("l1_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
733bc063562SLemover  XSPerfAccumulate("l2_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
734bc063562SLemover  XSPerfAccumulate("l3_hit_first", base_valid_access_2 && resp_l3)
735bc063562SLemover  XSPerfAccumulate("sp_hit_first", base_valid_access_2 && resp_sp)
736bc063562SLemover  XSPerfAccumulate("pte_hit_first",base_valid_access_2 && io.resp.bits.hit)
737bc063562SLemover
738bc063562SLemover  XSPerfAccumulate("l1_hit_pre_first", base_valid_access_2 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
739bc063562SLemover  XSPerfAccumulate("l2_hit_pre_first", base_valid_access_2 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
740bc063562SLemover  XSPerfAccumulate("l3_hit_pre_first", base_valid_access_2 && resp_l3_pre && resp_l3)
741bc063562SLemover  XSPerfAccumulate("sp_hit_pre_first", base_valid_access_2 && resp_sp_pre && resp_sp)
742bc063562SLemover  XSPerfAccumulate("pte_hit_pre_first",base_valid_access_2 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
743bc063562SLemover
7446c4dcc2dSLemover  val base_valid_access_3 = stageResp.bits.isFirst && from_pre(io.resp.bits.req_info.source) && io.resp.fire()
745bc063562SLemover  XSPerfAccumulate("pre_access_first", base_valid_access_3)
746bc063562SLemover  XSPerfAccumulate("pre_l1_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
747bc063562SLemover  XSPerfAccumulate("pre_l2_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
748bc063562SLemover  XSPerfAccumulate("pre_l3_hit_first", base_valid_access_3 && resp_l3)
749bc063562SLemover  XSPerfAccumulate("pre_sp_hit_first", base_valid_access_3 && resp_sp)
750bc063562SLemover  XSPerfAccumulate("pre_pte_hit_first", base_valid_access_3 && io.resp.bits.hit)
751bc063562SLemover
752bc063562SLemover  XSPerfAccumulate("pre_l1_hit_pre_first", base_valid_access_3 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
753bc063562SLemover  XSPerfAccumulate("pre_l2_hit_pre_first", base_valid_access_3 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
754bc063562SLemover  XSPerfAccumulate("pre_l3_hit_pre_first", base_valid_access_3 && resp_l3_pre && resp_l3)
755bc063562SLemover  XSPerfAccumulate("pre_sp_hit_pre_first", base_valid_access_3 && resp_sp_pre && resp_sp)
756bc063562SLemover  XSPerfAccumulate("pre_pte_hit_pre_first",base_valid_access_3 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
757bc063562SLemover
7586d5ddbceSLemover  XSPerfAccumulate("rwHarzad", io.req.valid && !io.req.ready)
7596d5ddbceSLemover  XSPerfAccumulate("out_blocked", io.resp.valid && !io.resp.ready)
7606d5ddbceSLemover  l1AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L1AccessIndex${i}", l) }
7616d5ddbceSLemover  l2AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L2AccessIndex${i}", l) }
7626d5ddbceSLemover  l3AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L3AccessIndex${i}", l) }
7636d5ddbceSLemover  spAccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPAccessIndex${i}", l) }
7646d5ddbceSLemover  l1RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L1RefillIndex${i}", l) }
7656d5ddbceSLemover  l2RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L2RefillIndex${i}", l) }
7666d5ddbceSLemover  l3RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L3RefillIndex${i}", l) }
7676d5ddbceSLemover  spRefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPRefillIndex${i}", l) }
7686d5ddbceSLemover
769bc063562SLemover  XSPerfAccumulate("l1Refill", Cat(l1RefillPerf).orR)
770bc063562SLemover  XSPerfAccumulate("l2Refill", Cat(l2RefillPerf).orR)
771bc063562SLemover  XSPerfAccumulate("l3Refill", Cat(l3RefillPerf).orR)
772bc063562SLemover  XSPerfAccumulate("spRefill", Cat(spRefillPerf).orR)
7737797f035SbugGenerator  XSPerfAccumulate("l1Refill_pre", Cat(l1RefillPerf).orR && refill_prefetch_dup(0))
7747797f035SbugGenerator  XSPerfAccumulate("l2Refill_pre", Cat(l2RefillPerf).orR && refill_prefetch_dup(0))
7757797f035SbugGenerator  XSPerfAccumulate("l3Refill_pre", Cat(l3RefillPerf).orR && refill_prefetch_dup(0))
7767797f035SbugGenerator  XSPerfAccumulate("spRefill_pre", Cat(spRefillPerf).orR && refill_prefetch_dup(0))
777bc063562SLemover
7786d5ddbceSLemover  // debug
7797797f035SbugGenerator  XSDebug(sfence_dup(0).valid, p"[sfence] original v and g vector:\n")
7807797f035SbugGenerator  XSDebug(sfence_dup(0).valid, p"[sfence] l1v:${Binary(l1v)}\n")
7817797f035SbugGenerator  XSDebug(sfence_dup(0).valid, p"[sfence] l2v:${Binary(l2v)}\n")
7827797f035SbugGenerator  XSDebug(sfence_dup(0).valid, p"[sfence] l3v:${Binary(l3v)}\n")
7837797f035SbugGenerator  XSDebug(sfence_dup(0).valid, p"[sfence] l3g:${Binary(l3g)}\n")
7847797f035SbugGenerator  XSDebug(sfence_dup(0).valid, p"[sfence] spv:${Binary(spv)}\n")
7857797f035SbugGenerator  XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] new v and g vector:\n")
7867797f035SbugGenerator  XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] l1v:${Binary(l1v)}\n")
7877797f035SbugGenerator  XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] l2v:${Binary(l2v)}\n")
7887797f035SbugGenerator  XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] l3v:${Binary(l3v)}\n")
7897797f035SbugGenerator  XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] l3g:${Binary(l3g)}\n")
7907797f035SbugGenerator  XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] spv:${Binary(spv)}\n")
791cd365d4cSrvcoresjw
792cd365d4cSrvcoresjw  val perfEvents = Seq(
79356be8e20SYinan Xu    ("access           ", base_valid_access_0             ),
794cd365d4cSrvcoresjw    ("l1_hit           ", l1Hit                           ),
795cd365d4cSrvcoresjw    ("l2_hit           ", l2Hit                           ),
796cd365d4cSrvcoresjw    ("l3_hit           ", l3Hit                           ),
797cd365d4cSrvcoresjw    ("sp_hit           ", spHit                           ),
798cd365d4cSrvcoresjw    ("pte_hit          ", l3Hit || spHit                  ),
799cd365d4cSrvcoresjw    ("rwHarzad         ",  io.req.valid && !io.req.ready  ),
800cd365d4cSrvcoresjw    ("out_blocked      ",  io.resp.valid && !io.resp.ready),
801cd365d4cSrvcoresjw  )
8021ca0e4f3SYinan Xu  generatePerfEvent()
8036d5ddbceSLemover}
804