16d5ddbceSLemover/*************************************************************************************** 26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 46d5ddbceSLemover* 56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2. 66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at: 86d5ddbceSLemover* http://license.coscl.org.cn/MulanPSL2 96d5ddbceSLemover* 106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 136d5ddbceSLemover* 146d5ddbceSLemover* See the Mulan PSL v2 for more details. 156d5ddbceSLemover***************************************************************************************/ 166d5ddbceSLemover 176d5ddbceSLemoverpackage xiangshan.cache.mmu 186d5ddbceSLemover 196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters 206d5ddbceSLemoverimport chisel3._ 216d5ddbceSLemoverimport chisel3.util._ 22b848eea5SLemoverimport chisel3.internal.naming.chiselName 236d5ddbceSLemoverimport xiangshan._ 246d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 256d5ddbceSLemoverimport utils._ 266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 276d5ddbceSLemoverimport freechips.rocketchip.tilelink._ 286d5ddbceSLemover 296d5ddbceSLemover/* ptw cache caches the page table of all the three layers 306d5ddbceSLemover * ptw cache resp at next cycle 316d5ddbceSLemover * the cache should not be blocked 326d5ddbceSLemover * when miss queue if full, just block req outside 336d5ddbceSLemover */ 343889e11eSLemover 353889e11eSLemoverclass PageCachePerPespBundle(implicit p: Parameters) extends PtwBundle { 363889e11eSLemover val hit = Bool() 373889e11eSLemover val pre = Bool() 383889e11eSLemover val ppn = UInt(ppnLen.W) 393889e11eSLemover val perm = new PtePermBundle() 403889e11eSLemover val ecc = Bool() 413889e11eSLemover val level = UInt(2.W) 423889e11eSLemover 433889e11eSLemover def apply(hit: Bool, pre: Bool, ppn: UInt, perm: PtePermBundle = 0.U.asTypeOf(new PtePermBundle()), 443889e11eSLemover ecc: Bool = false.B, level: UInt = 0.U) { 453889e11eSLemover this.hit := hit && !ecc 463889e11eSLemover this.pre := pre 473889e11eSLemover this.ppn := ppn 483889e11eSLemover this.perm := perm 493889e11eSLemover this.ecc := ecc && hit 503889e11eSLemover this.level := level 513889e11eSLemover } 523889e11eSLemover} 533889e11eSLemover 543889e11eSLemoverclass PageCacheRespBundle(implicit p: Parameters) extends PtwBundle { 553889e11eSLemover val l1 = new PageCachePerPespBundle 563889e11eSLemover val l2 = new PageCachePerPespBundle 573889e11eSLemover val l3 = new PageCachePerPespBundle 583889e11eSLemover val sp = new PageCachePerPespBundle 593889e11eSLemover} 603889e11eSLemover 613889e11eSLemoverclass PtwCacheReq(implicit p: Parameters) extends PtwBundle { 623889e11eSLemover val req_info = new L2TlbInnerBundle() 633889e11eSLemover val isFirst = Bool() 643889e11eSLemover} 653889e11eSLemover 663889e11eSLemoverclass PtwCacheIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst { 673889e11eSLemover val req = Flipped(DecoupledIO(new PtwCacheReq())) 686d5ddbceSLemover val resp = DecoupledIO(new Bundle { 6945f497a4Shappy-lx val req_info = new L2TlbInnerBundle() 706d5ddbceSLemover val hit = Bool() 71bc063562SLemover val prefetch = Bool() // is the entry fetched by prefetch 726d5ddbceSLemover val toFsm = new Bundle { 736d5ddbceSLemover val l1Hit = Bool() 746d5ddbceSLemover val l2Hit = Bool() 756d5ddbceSLemover val ppn = UInt(ppnLen.W) 766d5ddbceSLemover } 776d5ddbceSLemover val toTlb = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true) 786d5ddbceSLemover }) 796d5ddbceSLemover val refill = Flipped(ValidIO(new Bundle { 805854c1edSLemover val ptes = UInt(blockBits.W) 8145f497a4Shappy-lx val req_info = new L2TlbInnerBundle() 826d5ddbceSLemover val level = UInt(log2Up(Level).W) 83b848eea5SLemover val addr_low = UInt((log2Up(l2tlbParams.blockBytes) - log2Up(XLEN/8)).W) 846d5ddbceSLemover })) 856d5ddbceSLemover} 866d5ddbceSLemover 87b848eea5SLemover@chiselName 886d5ddbceSLemoverclass PtwCache()(implicit p: Parameters) extends XSModule with HasPtwConst { 896d5ddbceSLemover val io = IO(new PtwCacheIO) 906d5ddbceSLemover 917196f5a2SLemover val ecc = Code.fromString(l2tlbParams.ecc) 927196f5a2SLemover val l2EntryType = new PTWEntriesWithEcc(ecc, num = PtwL2SectorSize, tagLen = PtwL2TagLen, level = 1, hasPerm = false) 937196f5a2SLemover val l3EntryType = new PTWEntriesWithEcc(ecc, num = PtwL3SectorSize, tagLen = PtwL3TagLen, level = 2, hasPerm = true) 947196f5a2SLemover 956d5ddbceSLemover // TODO: four caches make the codes dirty, think about how to deal with it 966d5ddbceSLemover 976d5ddbceSLemover val sfence = io.sfence 986d5ddbceSLemover val refill = io.refill.bits 9945f497a4Shappy-lx val refill_prefetch = from_pre(io.refill.bits.req_info.source) 1003889e11eSLemover val flush = sfence.valid || io.csr.satp.changed 1016d5ddbceSLemover 1026d5ddbceSLemover // when refill, refuce to accept new req 1035854c1edSLemover val rwHarzad = if (sramSinglePort) io.refill.valid else false.B 1043889e11eSLemover 1053889e11eSLemover // handle hand signal and req_info 106*2c86e165SZhangZifei val stage1 = Wire(Decoupled(new PtwCacheReq())) // enq stage & read page cache valid 107*2c86e165SZhangZifei val stage2 = Wire(Vec(2, Decoupled(new PtwCacheReq()))) // page cache resp & check hit & check ecc 108*2c86e165SZhangZifei val stage3 = Wire(Decoupled(new PtwCacheReq())) // deq stage 109*2c86e165SZhangZifei /* stage1.valid && stage2(0).ready : stage1 (in) -> stage2 110*2c86e165SZhangZifei * stage2(1).valid && stage3.ready : stage2 -> stage3 111*2c86e165SZhangZifei * stage3.valid && io.resp.ready : stage3 (out) -> outside 112*2c86e165SZhangZifei */ 1133889e11eSLemover stage1 <> io.req 114*2c86e165SZhangZifei PipelineConnect(stage1, stage2(0), stage2(1).ready, flush, rwHarzad) 115*2c86e165SZhangZifei InsideStageConnect(stage2(0), stage2(1)) 116*2c86e165SZhangZifei PipelineConnect(stage2(1), stage3, io.resp.ready, flush) 117*2c86e165SZhangZifei stage3.ready := !stage3.valid || io.resp.ready 1186d5ddbceSLemover 1196d5ddbceSLemover // l1: level 0 non-leaf pte 1205854c1edSLemover val l1 = Reg(Vec(l2tlbParams.l1Size, new PtwEntry(tagLen = PtwL1TagLen))) 1215854c1edSLemover val l1v = RegInit(0.U(l2tlbParams.l1Size.W)) 1225854c1edSLemover val l1g = Reg(UInt(l2tlbParams.l1Size.W)) 12345f497a4Shappy-lx val l1asids = Reg(Vec(l2tlbParams.l1Size, UInt(AsidLength.W))) 1246d5ddbceSLemover 1256d5ddbceSLemover // l2: level 1 non-leaf pte 1266d5ddbceSLemover val l2 = Module(new SRAMTemplate( 1277196f5a2SLemover l2EntryType, 1285854c1edSLemover set = l2tlbParams.l2nSets, 1295854c1edSLemover way = l2tlbParams.l2nWays, 1305854c1edSLemover singlePort = sramSinglePort 1316d5ddbceSLemover )) 1325854c1edSLemover val l2v = RegInit(0.U((l2tlbParams.l2nSets * l2tlbParams.l2nWays).W)) 1335854c1edSLemover val l2g = Reg(UInt((l2tlbParams.l2nSets * l2tlbParams.l2nWays).W)) 13445f497a4Shappy-lx val l2asids = Reg(Vec(l2tlbParams.l2nSets, Vec(l2tlbParams.l2nWays, UInt(AsidLength.W)))) 1356d5ddbceSLemover def getl2vSet(vpn: UInt) = { 1365854c1edSLemover require(log2Up(l2tlbParams.l2nWays) == log2Down(l2tlbParams.l2nWays)) 1376d5ddbceSLemover val set = genPtwL2SetIdx(vpn) 1385854c1edSLemover require(set.getWidth == log2Up(l2tlbParams.l2nSets)) 1395854c1edSLemover val l2vVec = l2v.asTypeOf(Vec(l2tlbParams.l2nSets, UInt(l2tlbParams.l2nWays.W))) 1406d5ddbceSLemover l2vVec(set) 1416d5ddbceSLemover } 14245f497a4Shappy-lx def getl2asidSet(vpn: UInt) = { 14345f497a4Shappy-lx require(log2Up(l2tlbParams.l2nWays) == log2Down(l2tlbParams.l2nWays)) 14445f497a4Shappy-lx val set = genPtwL2SetIdx(vpn) 14545f497a4Shappy-lx require(set.getWidth == log2Up(l2tlbParams.l2nSets)) 14645f497a4Shappy-lx l2asids(set) 14745f497a4Shappy-lx } 1486d5ddbceSLemover 1496d5ddbceSLemover // l3: level 2 leaf pte of 4KB pages 1506d5ddbceSLemover val l3 = Module(new SRAMTemplate( 1517196f5a2SLemover l3EntryType, 1525854c1edSLemover set = l2tlbParams.l3nSets, 1535854c1edSLemover way = l2tlbParams.l3nWays, 1545854c1edSLemover singlePort = sramSinglePort 1556d5ddbceSLemover )) 1565854c1edSLemover val l3v = RegInit(0.U((l2tlbParams.l3nSets * l2tlbParams.l3nWays).W)) 1575854c1edSLemover val l3g = Reg(UInt((l2tlbParams.l3nSets * l2tlbParams.l3nWays).W)) 15845f497a4Shappy-lx val l3asids = Reg(Vec(l2tlbParams.l3nSets, Vec(l2tlbParams.l3nWays, UInt(AsidLength.W)))) 1596d5ddbceSLemover def getl3vSet(vpn: UInt) = { 1605854c1edSLemover require(log2Up(l2tlbParams.l3nWays) == log2Down(l2tlbParams.l3nWays)) 1616d5ddbceSLemover val set = genPtwL3SetIdx(vpn) 1625854c1edSLemover require(set.getWidth == log2Up(l2tlbParams.l3nSets)) 1635854c1edSLemover val l3vVec = l3v.asTypeOf(Vec(l2tlbParams.l3nSets, UInt(l2tlbParams.l3nWays.W))) 1646d5ddbceSLemover l3vVec(set) 1656d5ddbceSLemover } 16645f497a4Shappy-lx def getl3asidSet(vpn: UInt) = { 16745f497a4Shappy-lx require(log2Up(l2tlbParams.l3nWays) == log2Down(l2tlbParams.l3nWays)) 16845f497a4Shappy-lx val set = genPtwL3SetIdx(vpn) 16945f497a4Shappy-lx require(set.getWidth == log2Up(l2tlbParams.l3nSets)) 17045f497a4Shappy-lx l3asids(set) 17145f497a4Shappy-lx } 1726d5ddbceSLemover 1736d5ddbceSLemover // sp: level 0/1 leaf pte of 1GB/2MB super pages 1745854c1edSLemover val sp = Reg(Vec(l2tlbParams.spSize, new PtwEntry(tagLen = SPTagLen, hasPerm = true, hasLevel = true))) 1755854c1edSLemover val spv = RegInit(0.U(l2tlbParams.spSize.W)) 1765854c1edSLemover val spg = Reg(UInt(l2tlbParams.spSize.W)) 17745f497a4Shappy-lx val spasids = Reg(Vec(l2tlbParams.spSize, UInt(AsidLength.W))) 1786d5ddbceSLemover 1796d5ddbceSLemover // Access Perf 1805854c1edSLemover val l1AccessPerf = Wire(Vec(l2tlbParams.l1Size, Bool())) 1815854c1edSLemover val l2AccessPerf = Wire(Vec(l2tlbParams.l2nWays, Bool())) 1825854c1edSLemover val l3AccessPerf = Wire(Vec(l2tlbParams.l3nWays, Bool())) 1835854c1edSLemover val spAccessPerf = Wire(Vec(l2tlbParams.spSize, Bool())) 1846d5ddbceSLemover l1AccessPerf.map(_ := false.B) 1856d5ddbceSLemover l2AccessPerf.map(_ := false.B) 1866d5ddbceSLemover l3AccessPerf.map(_ := false.B) 1876d5ddbceSLemover spAccessPerf.map(_ := false.B) 1886d5ddbceSLemover 1893889e11eSLemover // stage1 & stage2, read page cache and data resp 1903889e11eSLemover 1913889e11eSLemover val cache_read_valid = OneCycleValid(stage1.fire, flush) 1926d5ddbceSLemover // l1 1935854c1edSLemover val ptwl1replace = ReplacementPolicy.fromString(l2tlbParams.l1Replacer, l2tlbParams.l1Size) 194bc063562SLemover val (l1Hit, l1HitPPN, l1Pre) = { 1953889e11eSLemover val hitVecT = l1.zipWithIndex.map { case (e, i) => e.hit(stage1.bits.req_info.vpn, io.csr.satp.asid) && l1v(i) } 1963889e11eSLemover val hitVec = hitVecT.map(RegEnable(_, stage1.fire)) 1976d5ddbceSLemover val hitPPN = ParallelPriorityMux(hitVec zip l1.map(_.ppn)) 198bc063562SLemover val hitPre = ParallelPriorityMux(hitVec zip l1.map(_.prefetch)) 1991af89150SLemover val hit = ParallelOR(hitVec) && cache_read_valid 2006d5ddbceSLemover 2016d5ddbceSLemover when (hit) { ptwl1replace.access(OHToUInt(hitVec)) } 2026d5ddbceSLemover 2033889e11eSLemover l1AccessPerf.zip(hitVec).map{ case (l, h) => l := h && RegNext(stage1.fire)} 2045854c1edSLemover for (i <- 0 until l2tlbParams.l1Size) { 2053889e11eSLemover XSDebug(stage1.fire, p"[l1] l1(${i.U}) ${l1(i)} hit:${l1(i).hit(stage1.bits.req_info.vpn, io.csr.satp.asid)}\n") 2066d5ddbceSLemover } 2073889e11eSLemover XSDebug(stage1.fire, p"[l1] l1v:${Binary(l1v)} hitVecT:${Binary(VecInit(hitVecT).asUInt)}\n") 208*2c86e165SZhangZifei XSDebug(stage2(0).valid, p"[l1] l1Hit:${hit} l1HitPPN:0x${Hexadecimal(hitPPN)} hitVec:${VecInit(hitVec).asUInt}\n") 2096d5ddbceSLemover 2106d5ddbceSLemover VecInit(hitVecT).suggestName(s"l1_hitVecT") 2116d5ddbceSLemover VecInit(hitVec).suggestName(s"l1_hitVec") 2126d5ddbceSLemover 213bc063562SLemover (hit, hitPPN, hitPre) 2146d5ddbceSLemover } 2156d5ddbceSLemover 2166d5ddbceSLemover // l2 2175854c1edSLemover val ptwl2replace = ReplacementPolicy.fromString(l2tlbParams.l2Replacer,l2tlbParams.l2nWays,l2tlbParams.l2nSets) 218bc063562SLemover val (l2Hit, l2HitPPN, l2Pre, l2eccError) = { 2193889e11eSLemover val ridx = genPtwL2SetIdx(stage1.bits.req_info.vpn) 2203889e11eSLemover val vidx = RegEnable(VecInit(getl2vSet(stage1.bits.req_info.vpn).asBools), stage1.fire) 2213889e11eSLemover val asids_idx = RegEnable(getl2asidSet(stage1.bits.req_info.vpn), stage1.fire) 2223889e11eSLemover l2.io.r.req.valid := stage1.fire 2236d5ddbceSLemover l2.io.r.req.bits.apply(setIdx = ridx) 2246d5ddbceSLemover val ramDatas = l2.io.r.resp.data 225*2c86e165SZhangZifei val hitVec = VecInit(ramDatas.zip(vidx).map { case (wayData, v) => wayData.entries.hit(stage2(0).bits.req_info.vpn, io.csr.satp.asid) && v }) 2267196f5a2SLemover val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas) 2277196f5a2SLemover val hitWayData = hitWayEntry.entries 2281af89150SLemover val hit = ParallelOR(hitVec) && cache_read_valid && RegNext(l2.io.r.req.ready, init = false.B) 2295854c1edSLemover val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l2nWays).map(_.U)) 2303889e11eSLemover val eccError = hitWayEntry.decode() 2317196f5a2SLemover 2326d5ddbceSLemover ridx.suggestName(s"l2_ridx") 2336d5ddbceSLemover vidx.suggestName(s"l2_vidx") 2346d5ddbceSLemover ramDatas.suggestName(s"l2_ramDatas") 2356d5ddbceSLemover hitVec.suggestName(s"l2_hitVec") 2366d5ddbceSLemover hitWayData.suggestName(s"l2_hitWayData") 2376d5ddbceSLemover hitWay.suggestName(s"l2_hitWay") 2386d5ddbceSLemover 239*2c86e165SZhangZifei when (hit) { ptwl2replace.access(genPtwL2SetIdx(stage2(0).bits.req_info.vpn), hitWay) } 2406d5ddbceSLemover 2413889e11eSLemover l2AccessPerf.zip(hitVec).map{ case (l, h) => l := h && RegNext(stage1.fire) } 2423889e11eSLemover XSDebug(stage1.fire, p"[l2] ridx:0x${Hexadecimal(ridx)}\n") 2435854c1edSLemover for (i <- 0 until l2tlbParams.l2nWays) { 244*2c86e165SZhangZifei XSDebug(RegNext(stage1.fire), p"[l2] ramDatas(${i.U}) ${ramDatas(i)} l2v:${vidx(i)} hit:${ramDatas(i).entries.hit(stage2(0).bits.req_info.vpn, io.csr.satp.asid)}\n") 2456d5ddbceSLemover } 246*2c86e165SZhangZifei XSDebug(stage2(0).valid, p"[l2] l2Hit:${hit} l2HitPPN:0x${Hexadecimal(hitWayData.ppns(genPtwL2SectorIdx(stage2(0).bits.req_info.vpn)))} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} vidx:${Binary(vidx.asUInt)}\n") 2476d5ddbceSLemover 248*2c86e165SZhangZifei (hit, hitWayData.ppns(genPtwL2SectorIdx(stage2(0).bits.req_info.vpn)), hitWayData.prefetch, eccError) 2496d5ddbceSLemover } 2506d5ddbceSLemover 2516d5ddbceSLemover // l3 2525854c1edSLemover val ptwl3replace = ReplacementPolicy.fromString(l2tlbParams.l3Replacer,l2tlbParams.l3nWays,l2tlbParams.l3nSets) 253bc063562SLemover val (l3Hit, l3HitData, l3Pre, l3eccError) = { 2543889e11eSLemover val ridx = genPtwL3SetIdx(stage1.bits.req_info.vpn) 2553889e11eSLemover val vidx = RegEnable(VecInit(getl3vSet(stage1.bits.req_info.vpn).asBools), stage1.fire) 2563889e11eSLemover val asids_idx = RegEnable(getl3asidSet(stage1.bits.req_info.vpn), stage1.fire) 2573889e11eSLemover l3.io.r.req.valid := stage1.fire 2586d5ddbceSLemover l3.io.r.req.bits.apply(setIdx = ridx) 2596d5ddbceSLemover val ramDatas = l3.io.r.resp.data 260*2c86e165SZhangZifei val hitVec = VecInit(ramDatas.zip(vidx).map{ case (wayData, v) => wayData.entries.hit(stage2(0).bits.req_info.vpn, io.csr.satp.asid) && v }) 2617196f5a2SLemover val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas) 2627196f5a2SLemover val hitWayData = hitWayEntry.entries 2637196f5a2SLemover val hitWayEcc = hitWayEntry.ecc 2641af89150SLemover val hit = ParallelOR(hitVec) && cache_read_valid && RegNext(l3.io.r.req.ready, init = false.B) 2655854c1edSLemover val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l3nWays).map(_.U)) 2663889e11eSLemover val eccError = hitWayEntry.decode() 2676d5ddbceSLemover 268*2c86e165SZhangZifei when (hit) { ptwl3replace.access(genPtwL3SetIdx(stage2(0).bits.req_info.vpn), hitWay) } 2697196f5a2SLemover 2703889e11eSLemover l3AccessPerf.zip(hitVec).map{ case (l, h) => l := h && RegNext(stage1.fire) } 2713889e11eSLemover XSDebug(stage1.fire, p"[l3] ridx:0x${Hexadecimal(ridx)}\n") 2725854c1edSLemover for (i <- 0 until l2tlbParams.l3nWays) { 273*2c86e165SZhangZifei XSDebug(RegNext(stage1.fire), p"[l3] ramDatas(${i.U}) ${ramDatas(i)} l3v:${vidx(i)} hit:${ramDatas(i).entries.hit(stage2(0).bits.req_info.vpn, io.csr.satp.asid)}\n") 2746d5ddbceSLemover } 275*2c86e165SZhangZifei XSDebug(stage2(0).valid, p"[l3] l3Hit:${hit} l3HitData:${hitWayData} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} vidx:${Binary(vidx.asUInt)}\n") 2766d5ddbceSLemover 2776d5ddbceSLemover ridx.suggestName(s"l3_ridx") 2786d5ddbceSLemover vidx.suggestName(s"l3_vidx") 2796d5ddbceSLemover ramDatas.suggestName(s"l3_ramDatas") 2806d5ddbceSLemover hitVec.suggestName(s"l3_hitVec") 2816d5ddbceSLemover hitWay.suggestName(s"l3_hitWay") 2826d5ddbceSLemover 2833889e11eSLemover (hit, hitWayData, hitWayData.prefetch, eccError) 2846d5ddbceSLemover } 285*2c86e165SZhangZifei val l3HitPPN = l3HitData.ppns(genPtwL3SectorIdx(stage2(0).bits.req_info.vpn)) 286*2c86e165SZhangZifei val l3HitPerm = l3HitData.perms.getOrElse(0.U.asTypeOf(Vec(PtwL3SectorSize, new PtePermBundle)))(genPtwL3SectorIdx(stage2(0).bits.req_info.vpn)) 2876d5ddbceSLemover 2886d5ddbceSLemover // super page 2895854c1edSLemover val spreplace = ReplacementPolicy.fromString(l2tlbParams.spReplacer, l2tlbParams.spSize) 290bc063562SLemover val (spHit, spHitData, spPre) = { 2913889e11eSLemover val hitVecT = sp.zipWithIndex.map { case (e, i) => e.hit(stage1.bits.req_info.vpn, io.csr.satp.asid) && spv(i) } 2923889e11eSLemover val hitVec = hitVecT.map(RegEnable(_, stage1.fire)) 2936d5ddbceSLemover val hitData = ParallelPriorityMux(hitVec zip sp) 2941af89150SLemover val hit = ParallelOR(hitVec) && cache_read_valid 2956d5ddbceSLemover 2966d5ddbceSLemover when (hit) { spreplace.access(OHToUInt(hitVec)) } 2976d5ddbceSLemover 2983889e11eSLemover spAccessPerf.zip(hitVec).map{ case (s, h) => s := h && RegNext(stage1.fire) } 2995854c1edSLemover for (i <- 0 until l2tlbParams.spSize) { 3003889e11eSLemover XSDebug(stage1.fire, p"[sp] sp(${i.U}) ${sp(i)} hit:${sp(i).hit(stage1.bits.req_info.vpn, io.csr.satp.asid)} spv:${spv(i)}\n") 3016d5ddbceSLemover } 302*2c86e165SZhangZifei XSDebug(stage2(0).valid, p"[sp] spHit:${hit} spHitData:${hitData} hitVec:${Binary(VecInit(hitVec).asUInt)}\n") 3036d5ddbceSLemover 3046d5ddbceSLemover VecInit(hitVecT).suggestName(s"sp_hitVecT") 3056d5ddbceSLemover VecInit(hitVec).suggestName(s"sp_hitVec") 3066d5ddbceSLemover 307bc063562SLemover (hit, hitData, hitData.prefetch) 3086d5ddbceSLemover } 3096d5ddbceSLemover val spHitPerm = spHitData.perm.getOrElse(0.U.asTypeOf(new PtePermBundle)) 3106d5ddbceSLemover val spHitLevel = spHitData.level.getOrElse(0.U) 3116d5ddbceSLemover 3123889e11eSLemover val s2_res = Wire(new PageCacheRespBundle) 3133889e11eSLemover s2_res.l1.apply(l1Hit, l1Pre, l1HitPPN) 3143889e11eSLemover s2_res.l2.apply(l2Hit, l2Pre, l2HitPPN, ecc = l2eccError) 3153889e11eSLemover s2_res.l3.apply(l3Hit, l3Pre, l3HitPPN, l3HitPerm, l3eccError) 3163889e11eSLemover s2_res.sp.apply(spHit, spPre, spHitData.ppn, spHitPerm, false.B, spHitLevel) 3173889e11eSLemover val s2_res_reg = DataHoldBypass(s2_res, RegNext(stage1.fire())) 3186d5ddbceSLemover 3193889e11eSLemover // stage3, add stage 3 for ecc check... 3203889e11eSLemover val s3_res = Reg(new PageCacheRespBundle) 321*2c86e165SZhangZifei when (stage2(1).fire()) { 3223889e11eSLemover s3_res := s2_res_reg 3233889e11eSLemover } 3243889e11eSLemover 3253889e11eSLemover io.resp.bits.req_info := stage3.bits.req_info 3263889e11eSLemover io.resp.bits.hit := s3_res.l3.hit || s3_res.sp.hit 3273889e11eSLemover io.resp.bits.prefetch := s3_res.l3.pre && s3_res.l3.hit || s3_res.sp.pre && s3_res.sp.hit 3283889e11eSLemover io.resp.bits.toFsm.l1Hit := s3_res.l1.hit 3293889e11eSLemover io.resp.bits.toFsm.l2Hit := s3_res.l2.hit 3303889e11eSLemover io.resp.bits.toFsm.ppn := Mux(s3_res.l2.hit, s3_res.l2.ppn, s3_res.l1.ppn) 3313889e11eSLemover io.resp.bits.toTlb.tag := stage3.bits.req_info.vpn 3323889e11eSLemover io.resp.bits.toTlb.asid := io.csr.satp.asid // DontCare 3333889e11eSLemover io.resp.bits.toTlb.ppn := Mux(s3_res.l3.hit, s3_res.l3.ppn, s3_res.sp.ppn) 3343889e11eSLemover io.resp.bits.toTlb.perm.map(_ := Mux(s3_res.l3.hit, s3_res.l3.perm, s3_res.sp.perm)) 3353889e11eSLemover io.resp.bits.toTlb.level.map(_ := Mux(s3_res.l3.hit, 2.U, s3_res.sp.level)) 3363889e11eSLemover io.resp.bits.toTlb.prefetch := from_pre(stage3.bits.req_info.source) 3373889e11eSLemover io.resp.valid := stage3.valid 3386d5ddbceSLemover assert(!(l3Hit && spHit), "normal page and super page both hit") 3396d5ddbceSLemover 3406d5ddbceSLemover // refill Perf 3415854c1edSLemover val l1RefillPerf = Wire(Vec(l2tlbParams.l1Size, Bool())) 3425854c1edSLemover val l2RefillPerf = Wire(Vec(l2tlbParams.l2nWays, Bool())) 3435854c1edSLemover val l3RefillPerf = Wire(Vec(l2tlbParams.l3nWays, Bool())) 3445854c1edSLemover val spRefillPerf = Wire(Vec(l2tlbParams.spSize, Bool())) 3456d5ddbceSLemover l1RefillPerf.map(_ := false.B) 3466d5ddbceSLemover l2RefillPerf.map(_ := false.B) 3476d5ddbceSLemover l3RefillPerf.map(_ := false.B) 3486d5ddbceSLemover spRefillPerf.map(_ := false.B) 3496d5ddbceSLemover 3506d5ddbceSLemover // refill 3516d5ddbceSLemover l2.io.w.req <> DontCare 3526d5ddbceSLemover l3.io.w.req <> DontCare 3536d5ddbceSLemover l2.io.w.req.valid := false.B 3546d5ddbceSLemover l3.io.w.req.valid := false.B 3556d5ddbceSLemover 3565854c1edSLemover def get_part(data: UInt, index: UInt): UInt = { 3575854c1edSLemover val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W))) 3585854c1edSLemover inner_data(index) 3595854c1edSLemover } 3605854c1edSLemover 3616d5ddbceSLemover val memRdata = refill.ptes 362b848eea5SLemover val memSelData = get_part(memRdata, refill.addr_low) 3635854c1edSLemover val memPtes = (0 until (l2tlbParams.blockBytes/(XLEN/8))).map(i => memRdata((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle)) 3646d5ddbceSLemover val memPte = memSelData.asTypeOf(new PteBundle) 3656d5ddbceSLemover 366b848eea5SLemover memPte.suggestName("memPte") 367b848eea5SLemover 3686d5ddbceSLemover // TODO: handle sfenceLatch outsize 3693889e11eSLemover when (io.refill.valid && !memPte.isPf(refill.level) && !flush ) { 3706d5ddbceSLemover when (refill.level === 0.U && !memPte.isLeaf()) { 3715854c1edSLemover // val refillIdx = LFSR64()(log2Up(l2tlbParams.l1Size)-1,0) // TODO: may be LRU 3726d5ddbceSLemover val refillIdx = replaceWrapper(l1v, ptwl1replace.way) 3736d5ddbceSLemover refillIdx.suggestName(s"PtwL1RefillIdx") 3746d5ddbceSLemover val rfOH = UIntToOH(refillIdx) 37545f497a4Shappy-lx l1(refillIdx).refill( 37645f497a4Shappy-lx refill.req_info.vpn, 37745f497a4Shappy-lx io.csr.satp.asid, 37845f497a4Shappy-lx memSelData, 37945f497a4Shappy-lx 0.U, 38045f497a4Shappy-lx refill_prefetch 38145f497a4Shappy-lx ) 3826d5ddbceSLemover ptwl1replace.access(refillIdx) 3836d5ddbceSLemover l1v := l1v | rfOH 3846d5ddbceSLemover l1g := (l1g & ~rfOH) | Mux(memPte.perm.g, rfOH, 0.U) 3856d5ddbceSLemover 3865854c1edSLemover for (i <- 0 until l2tlbParams.l1Size) { 3876d5ddbceSLemover l1RefillPerf(i) := i.U === refillIdx 3886d5ddbceSLemover } 3896d5ddbceSLemover 39045f497a4Shappy-lx XSDebug(p"[l1 refill] refillIdx:${refillIdx} refillEntry:${l1(refillIdx).genPtwEntry(refill.req_info.vpn, io.csr.satp.asid, memSelData, 0.U, refill_prefetch)}\n") 3916d5ddbceSLemover XSDebug(p"[l1 refill] l1v:${Binary(l1v)}->${Binary(l1v | rfOH)} l1g:${Binary(l1g)}->${Binary((l1g & ~rfOH) | Mux(memPte.perm.g, rfOH, 0.U))}\n") 3926d5ddbceSLemover 3936d5ddbceSLemover refillIdx.suggestName(s"l1_refillIdx") 3946d5ddbceSLemover rfOH.suggestName(s"l1_rfOH") 3956d5ddbceSLemover } 3966d5ddbceSLemover 3976d5ddbceSLemover when (refill.level === 1.U && !memPte.isLeaf()) { 39845f497a4Shappy-lx val refillIdx = genPtwL2SetIdx(refill.req_info.vpn) 3993889e11eSLemover val victimWay = replaceWrapper(RegEnable(VecInit(getl2vSet(refill.req_info.vpn).asBools).asUInt, stage1.fire), ptwl2replace.way(refillIdx)) 4006d5ddbceSLemover val victimWayOH = UIntToOH(victimWay) 4016d5ddbceSLemover val rfvOH = UIntToOH(Cat(refillIdx, victimWay)) 4027196f5a2SLemover val wdata = Wire(l2EntryType) 4033889e11eSLemover wdata.gen( 40445f497a4Shappy-lx vpn = refill.req_info.vpn, 40545f497a4Shappy-lx asid = io.csr.satp.asid, 40645f497a4Shappy-lx data = memRdata, 40745f497a4Shappy-lx levelUInt = 1.U, 40845f497a4Shappy-lx refill_prefetch 40945f497a4Shappy-lx ) 4106d5ddbceSLemover l2.io.w.apply( 4116d5ddbceSLemover valid = true.B, 4126d5ddbceSLemover setIdx = refillIdx, 4137196f5a2SLemover data = wdata, 4146d5ddbceSLemover waymask = victimWayOH 4156d5ddbceSLemover ) 4166d5ddbceSLemover ptwl2replace.access(refillIdx, victimWay) 4176d5ddbceSLemover l2v := l2v | rfvOH 4186d5ddbceSLemover l2g := l2g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U) 4196d5ddbceSLemover 4205854c1edSLemover for (i <- 0 until l2tlbParams.l2nWays) { 4216d5ddbceSLemover l2RefillPerf(i) := i.U === victimWay 4226d5ddbceSLemover } 4236d5ddbceSLemover 4246d5ddbceSLemover XSDebug(p"[l2 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n") 42545f497a4Shappy-lx XSDebug(p"[l2 refill] refilldata:0x${wdata}\n") 4266d5ddbceSLemover XSDebug(p"[l2 refill] l2v:${Binary(l2v)} -> ${Binary(l2v | rfvOH)}\n") 4276d5ddbceSLemover XSDebug(p"[l2 refill] l2g:${Binary(l2g)} -> ${Binary(l2g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n") 4286d5ddbceSLemover 4296d5ddbceSLemover refillIdx.suggestName(s"l2_refillIdx") 4306d5ddbceSLemover victimWay.suggestName(s"l2_victimWay") 4316d5ddbceSLemover victimWayOH.suggestName(s"l2_victimWayOH") 4326d5ddbceSLemover rfvOH.suggestName(s"l2_rfvOH") 4336d5ddbceSLemover } 4346d5ddbceSLemover 4356d5ddbceSLemover when (refill.level === 2.U && memPte.isLeaf()) { 43645f497a4Shappy-lx val refillIdx = genPtwL3SetIdx(refill.req_info.vpn) 4373889e11eSLemover val victimWay = replaceWrapper(RegEnable(VecInit(getl3vSet(refill.req_info.vpn).asBools).asUInt, stage1.fire), ptwl3replace.way(refillIdx)) 4386d5ddbceSLemover val victimWayOH = UIntToOH(victimWay) 4396d5ddbceSLemover val rfvOH = UIntToOH(Cat(refillIdx, victimWay)) 4407196f5a2SLemover val wdata = Wire(l3EntryType) 4413889e11eSLemover wdata.gen( 44245f497a4Shappy-lx vpn = refill.req_info.vpn, 44345f497a4Shappy-lx asid = io.csr.satp.asid, 44445f497a4Shappy-lx data = memRdata, 44545f497a4Shappy-lx levelUInt = 2.U, 44645f497a4Shappy-lx refill_prefetch 44745f497a4Shappy-lx ) 4486d5ddbceSLemover l3.io.w.apply( 4496d5ddbceSLemover valid = true.B, 4506d5ddbceSLemover setIdx = refillIdx, 4517196f5a2SLemover data = wdata, 4526d5ddbceSLemover waymask = victimWayOH 4536d5ddbceSLemover ) 4546d5ddbceSLemover ptwl3replace.access(refillIdx, victimWay) 4556d5ddbceSLemover l3v := l3v | rfvOH 4566d5ddbceSLemover l3g := l3g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U) 4576d5ddbceSLemover 4585854c1edSLemover for (i <- 0 until l2tlbParams.l3nWays) { 4596d5ddbceSLemover l3RefillPerf(i) := i.U === victimWay 4606d5ddbceSLemover } 4616d5ddbceSLemover 4626d5ddbceSLemover XSDebug(p"[l3 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n") 46345f497a4Shappy-lx XSDebug(p"[l3 refill] refilldata:0x${wdata}\n") 4646d5ddbceSLemover XSDebug(p"[l3 refill] l3v:${Binary(l3v)} -> ${Binary(l3v | rfvOH)}\n") 4656d5ddbceSLemover XSDebug(p"[l3 refill] l3g:${Binary(l3g)} -> ${Binary(l3g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n") 4666d5ddbceSLemover 4676d5ddbceSLemover refillIdx.suggestName(s"l3_refillIdx") 4686d5ddbceSLemover victimWay.suggestName(s"l3_victimWay") 4696d5ddbceSLemover victimWayOH.suggestName(s"l3_victimWayOH") 4706d5ddbceSLemover rfvOH.suggestName(s"l3_rfvOH") 4716d5ddbceSLemover } 4726d5ddbceSLemover when ((refill.level === 0.U || refill.level === 1.U) && memPte.isLeaf()) { 4735854c1edSLemover val refillIdx = spreplace.way// LFSR64()(log2Up(l2tlbParams.spSize)-1,0) // TODO: may be LRU 4746d5ddbceSLemover val rfOH = UIntToOH(refillIdx) 47545f497a4Shappy-lx sp(refillIdx).refill( 47645f497a4Shappy-lx refill.req_info.vpn, 47745f497a4Shappy-lx io.csr.satp.asid, 47845f497a4Shappy-lx memSelData, 47945f497a4Shappy-lx refill.level, 48045f497a4Shappy-lx refill_prefetch 48145f497a4Shappy-lx ) 4826d5ddbceSLemover spreplace.access(refillIdx) 4836d5ddbceSLemover spv := spv | rfOH 4846d5ddbceSLemover spg := spg & ~rfOH | Mux(memPte.perm.g, rfOH, 0.U) 4856d5ddbceSLemover 4865854c1edSLemover for (i <- 0 until l2tlbParams.spSize) { 4876d5ddbceSLemover spRefillPerf(i) := i.U === refillIdx 4886d5ddbceSLemover } 4896d5ddbceSLemover 49045f497a4Shappy-lx XSDebug(p"[sp refill] refillIdx:${refillIdx} refillEntry:${sp(refillIdx).genPtwEntry(refill.req_info.vpn, io.csr.satp.asid, memSelData, refill.level, refill_prefetch)}\n") 4916d5ddbceSLemover XSDebug(p"[sp refill] spv:${Binary(spv)}->${Binary(spv | rfOH)} spg:${Binary(spg)}->${Binary(spg & ~rfOH | Mux(memPte.perm.g, rfOH, 0.U))}\n") 4926d5ddbceSLemover 4936d5ddbceSLemover refillIdx.suggestName(s"sp_refillIdx") 4946d5ddbceSLemover rfOH.suggestName(s"sp_rfOH") 4956d5ddbceSLemover } 4966d5ddbceSLemover } 4976d5ddbceSLemover 4983889e11eSLemover val l2eccFlush = s3_res.l2.ecc && stage3.fire() // RegNext(l2eccError, init = false.B) 4993889e11eSLemover val l3eccFlush = s3_res.l3.ecc && stage3.fire() // RegNext(l3eccError, init = false.B) 5003889e11eSLemover val eccVpn = stage3.bits.req_info.vpn 5017196f5a2SLemover 5027196f5a2SLemover assert(!l2eccFlush) 5037196f5a2SLemover assert(!l3eccFlush) 5047196f5a2SLemover when (l2eccFlush) { 5057196f5a2SLemover val flushSetIdxOH = UIntToOH(genPtwL2SetIdx(eccVpn)) 5067196f5a2SLemover val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l2nWays, a.asUInt) }).asUInt 5077196f5a2SLemover l2v := l2v & ~flushMask 5087196f5a2SLemover l2g := l2g & ~flushMask 5097196f5a2SLemover } 5107196f5a2SLemover 5117196f5a2SLemover when (l3eccFlush) { 5127196f5a2SLemover val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(eccVpn)) 5137196f5a2SLemover val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt 5147196f5a2SLemover l3v := l3v & ~flushMask 5157196f5a2SLemover l3g := l3g & ~flushMask 5167196f5a2SLemover } 5177196f5a2SLemover 5186d5ddbceSLemover // sfence 5196d5ddbceSLemover when (sfence.valid) { 52045f497a4Shappy-lx val l1asidhit = VecInit(l1asids.map(_ === sfence.bits.asid)).asUInt 52145f497a4Shappy-lx val spasidhit = VecInit(spasids.map(_ === sfence.bits.asid)).asUInt 52245f497a4Shappy-lx val sfence_vpn = sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen) 52345f497a4Shappy-lx 5246d5ddbceSLemover when (sfence.bits.rs1/*va*/) { 5256d5ddbceSLemover when (sfence.bits.rs2) { 5266d5ddbceSLemover // all va && all asid 5276d5ddbceSLemover l1v := 0.U 5286d5ddbceSLemover l2v := 0.U 5296d5ddbceSLemover l3v := 0.U 5306d5ddbceSLemover spv := 0.U 5316d5ddbceSLemover } .otherwise { 5326d5ddbceSLemover // all va && specific asid except global 53345f497a4Shappy-lx 53445f497a4Shappy-lx l1v := l1v & (~l1asidhit | l1g) 5356d5ddbceSLemover l2v := l2v & l2g 5366d5ddbceSLemover l3v := l3v & l3g 53745f497a4Shappy-lx spv := spv & (~spasidhit | spg) 5386d5ddbceSLemover } 5396d5ddbceSLemover } .otherwise { 5406d5ddbceSLemover // val flushMask = UIntToOH(genTlbL2Idx(sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen))) 54145f497a4Shappy-lx val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(sfence_vpn)) 5425854c1edSLemover // val flushMask = VecInit(flushSetIdxOH.asBools.map(Fill(l2tlbParams.l3nWays, _.asUInt))).asUInt 5435854c1edSLemover val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt 5446d5ddbceSLemover flushSetIdxOH.suggestName(s"sfence_nrs1_flushSetIdxOH") 5456d5ddbceSLemover flushMask.suggestName(s"sfence_nrs1_flushMask") 54645f497a4Shappy-lx 5476d5ddbceSLemover when (sfence.bits.rs2) { 5486d5ddbceSLemover // specific leaf of addr && all asid 5496d5ddbceSLemover l3v := l3v & ~flushMask 55045f497a4Shappy-lx spv := spv & (~VecInit(sp.map(_.hit(sfence_vpn, sfence.bits.asid, ignoreAsid = true))).asUInt | spg) 5516d5ddbceSLemover } .otherwise { 5526d5ddbceSLemover // specific leaf of addr && specific asid 5536d5ddbceSLemover l3v := l3v & (~flushMask | l3g) 55445f497a4Shappy-lx spv := spv & (~VecInit(sp.map(_.hit(sfence_vpn, sfence.bits.asid))).asUInt | spg) 5556d5ddbceSLemover } 5566d5ddbceSLemover } 5576d5ddbceSLemover } 5586d5ddbceSLemover 559*2c86e165SZhangZifei def InsideStageConnect[T <:Data](in: DecoupledIO[T], out: DecoupledIO[T], block: Bool = false.B): Unit = { 560*2c86e165SZhangZifei in.ready := !in.valid || out.ready 561*2c86e165SZhangZifei out.valid := in.valid 562*2c86e165SZhangZifei out.bits := in.bits 563*2c86e165SZhangZifei } 564*2c86e165SZhangZifei 5656d5ddbceSLemover // Perf Count 5663889e11eSLemover val resp_l3 = s3_res.l3.hit 5673889e11eSLemover val resp_sp = s3_res.sp.hit 5683889e11eSLemover val resp_l1_pre = s3_res.l1.pre 5693889e11eSLemover val resp_l2_pre = s3_res.l2.pre 5703889e11eSLemover val resp_l3_pre = s3_res.l3.pre 5713889e11eSLemover val resp_sp_pre = s3_res.sp.pre 57245f497a4Shappy-lx val base_valid_access_0 = !from_pre(io.resp.bits.req_info.source) && io.resp.fire() 573bc063562SLemover XSPerfAccumulate("access", base_valid_access_0) 574bc063562SLemover XSPerfAccumulate("l1_hit", base_valid_access_0 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 575bc063562SLemover XSPerfAccumulate("l2_hit", base_valid_access_0 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 576bc063562SLemover XSPerfAccumulate("l3_hit", base_valid_access_0 && resp_l3) 577bc063562SLemover XSPerfAccumulate("sp_hit", base_valid_access_0 && resp_sp) 578bc063562SLemover XSPerfAccumulate("pte_hit",base_valid_access_0 && io.resp.bits.hit) 579bc063562SLemover 580bc063562SLemover XSPerfAccumulate("l1_hit_pre", base_valid_access_0 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 581bc063562SLemover XSPerfAccumulate("l2_hit_pre", base_valid_access_0 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 582bc063562SLemover XSPerfAccumulate("l3_hit_pre", base_valid_access_0 && resp_l3_pre && resp_l3) 583bc063562SLemover XSPerfAccumulate("sp_hit_pre", base_valid_access_0 && resp_sp_pre && resp_sp) 584bc063562SLemover XSPerfAccumulate("pte_hit_pre",base_valid_access_0 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit) 585bc063562SLemover 58645f497a4Shappy-lx val base_valid_access_1 = from_pre(io.resp.bits.req_info.source) && io.resp.fire() 587bc063562SLemover XSPerfAccumulate("pre_access", base_valid_access_1) 588bc063562SLemover XSPerfAccumulate("pre_l1_hit", base_valid_access_1 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 589bc063562SLemover XSPerfAccumulate("pre_l2_hit", base_valid_access_1 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 590bc063562SLemover XSPerfAccumulate("pre_l3_hit", base_valid_access_1 && resp_l3) 591bc063562SLemover XSPerfAccumulate("pre_sp_hit", base_valid_access_1 && resp_sp) 592bc063562SLemover XSPerfAccumulate("pre_pte_hit",base_valid_access_1 && io.resp.bits.hit) 593bc063562SLemover 594bc063562SLemover XSPerfAccumulate("pre_l1_hit_pre", base_valid_access_1 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 595bc063562SLemover XSPerfAccumulate("pre_l2_hit_pre", base_valid_access_1 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 596bc063562SLemover XSPerfAccumulate("pre_l3_hit_pre", base_valid_access_1 && resp_l3_pre && resp_l3) 597bc063562SLemover XSPerfAccumulate("pre_sp_hit_pre", base_valid_access_1 && resp_sp_pre && resp_sp) 598bc063562SLemover XSPerfAccumulate("pre_pte_hit_pre",base_valid_access_1 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit) 599bc063562SLemover 6003889e11eSLemover val base_valid_access_2 = stage3.bits.isFirst && !from_pre(io.resp.bits.req_info.source) && io.resp.fire() 601bc063562SLemover XSPerfAccumulate("access_first", base_valid_access_2) 602bc063562SLemover XSPerfAccumulate("l1_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 603bc063562SLemover XSPerfAccumulate("l2_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 604bc063562SLemover XSPerfAccumulate("l3_hit_first", base_valid_access_2 && resp_l3) 605bc063562SLemover XSPerfAccumulate("sp_hit_first", base_valid_access_2 && resp_sp) 606bc063562SLemover XSPerfAccumulate("pte_hit_first",base_valid_access_2 && io.resp.bits.hit) 607bc063562SLemover 608bc063562SLemover XSPerfAccumulate("l1_hit_pre_first", base_valid_access_2 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 609bc063562SLemover XSPerfAccumulate("l2_hit_pre_first", base_valid_access_2 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 610bc063562SLemover XSPerfAccumulate("l3_hit_pre_first", base_valid_access_2 && resp_l3_pre && resp_l3) 611bc063562SLemover XSPerfAccumulate("sp_hit_pre_first", base_valid_access_2 && resp_sp_pre && resp_sp) 612bc063562SLemover XSPerfAccumulate("pte_hit_pre_first",base_valid_access_2 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit) 613bc063562SLemover 6143889e11eSLemover val base_valid_access_3 = stage3.bits.isFirst && from_pre(io.resp.bits.req_info.source) && io.resp.fire() 615bc063562SLemover XSPerfAccumulate("pre_access_first", base_valid_access_3) 616bc063562SLemover XSPerfAccumulate("pre_l1_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 617bc063562SLemover XSPerfAccumulate("pre_l2_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 618bc063562SLemover XSPerfAccumulate("pre_l3_hit_first", base_valid_access_3 && resp_l3) 619bc063562SLemover XSPerfAccumulate("pre_sp_hit_first", base_valid_access_3 && resp_sp) 620bc063562SLemover XSPerfAccumulate("pre_pte_hit_first", base_valid_access_3 && io.resp.bits.hit) 621bc063562SLemover 622bc063562SLemover XSPerfAccumulate("pre_l1_hit_pre_first", base_valid_access_3 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 623bc063562SLemover XSPerfAccumulate("pre_l2_hit_pre_first", base_valid_access_3 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit) 624bc063562SLemover XSPerfAccumulate("pre_l3_hit_pre_first", base_valid_access_3 && resp_l3_pre && resp_l3) 625bc063562SLemover XSPerfAccumulate("pre_sp_hit_pre_first", base_valid_access_3 && resp_sp_pre && resp_sp) 626bc063562SLemover XSPerfAccumulate("pre_pte_hit_pre_first",base_valid_access_3 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit) 627bc063562SLemover 6286d5ddbceSLemover XSPerfAccumulate("rwHarzad", io.req.valid && !io.req.ready) 6296d5ddbceSLemover XSPerfAccumulate("out_blocked", io.resp.valid && !io.resp.ready) 6306d5ddbceSLemover l1AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L1AccessIndex${i}", l) } 6316d5ddbceSLemover l2AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L2AccessIndex${i}", l) } 6326d5ddbceSLemover l3AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L3AccessIndex${i}", l) } 6336d5ddbceSLemover spAccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPAccessIndex${i}", l) } 6346d5ddbceSLemover l1RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L1RefillIndex${i}", l) } 6356d5ddbceSLemover l2RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L2RefillIndex${i}", l) } 6366d5ddbceSLemover l3RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L3RefillIndex${i}", l) } 6376d5ddbceSLemover spRefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPRefillIndex${i}", l) } 6386d5ddbceSLemover 639bc063562SLemover XSPerfAccumulate("l1Refill", Cat(l1RefillPerf).orR) 640bc063562SLemover XSPerfAccumulate("l2Refill", Cat(l2RefillPerf).orR) 641bc063562SLemover XSPerfAccumulate("l3Refill", Cat(l3RefillPerf).orR) 642bc063562SLemover XSPerfAccumulate("spRefill", Cat(spRefillPerf).orR) 64345f497a4Shappy-lx XSPerfAccumulate("l1Refill_pre", Cat(l1RefillPerf).orR && refill_prefetch) 64445f497a4Shappy-lx XSPerfAccumulate("l2Refill_pre", Cat(l2RefillPerf).orR && refill_prefetch) 64545f497a4Shappy-lx XSPerfAccumulate("l3Refill_pre", Cat(l3RefillPerf).orR && refill_prefetch) 64645f497a4Shappy-lx XSPerfAccumulate("spRefill_pre", Cat(spRefillPerf).orR && refill_prefetch) 647bc063562SLemover 6486d5ddbceSLemover // debug 6496d5ddbceSLemover XSDebug(sfence.valid, p"[sfence] original v and g vector:\n") 6506d5ddbceSLemover XSDebug(sfence.valid, p"[sfence] l1v:${Binary(l1v)}\n") 6516d5ddbceSLemover XSDebug(sfence.valid, p"[sfence] l2v:${Binary(l2v)}\n") 6526d5ddbceSLemover XSDebug(sfence.valid, p"[sfence] l3v:${Binary(l3v)}\n") 6536d5ddbceSLemover XSDebug(sfence.valid, p"[sfence] l3g:${Binary(l3g)}\n") 6546d5ddbceSLemover XSDebug(sfence.valid, p"[sfence] spv:${Binary(spv)}\n") 6556d5ddbceSLemover XSDebug(RegNext(sfence.valid), p"[sfence] new v and g vector:\n") 6566d5ddbceSLemover XSDebug(RegNext(sfence.valid), p"[sfence] l1v:${Binary(l1v)}\n") 6576d5ddbceSLemover XSDebug(RegNext(sfence.valid), p"[sfence] l2v:${Binary(l2v)}\n") 6586d5ddbceSLemover XSDebug(RegNext(sfence.valid), p"[sfence] l3v:${Binary(l3v)}\n") 6596d5ddbceSLemover XSDebug(RegNext(sfence.valid), p"[sfence] l3g:${Binary(l3g)}\n") 6606d5ddbceSLemover XSDebug(RegNext(sfence.valid), p"[sfence] spv:${Binary(spv)}\n") 661cd365d4cSrvcoresjw 662cd365d4cSrvcoresjw val perfinfo = IO(new Bundle(){ 663cd365d4cSrvcoresjw val perfEvents = Output(new PerfEventsBundle(8)) 664cd365d4cSrvcoresjw }) 665cd365d4cSrvcoresjw val perfEvents = Seq( 66656be8e20SYinan Xu ("access ", base_valid_access_0 ), 667cd365d4cSrvcoresjw ("l1_hit ", l1Hit ), 668cd365d4cSrvcoresjw ("l2_hit ", l2Hit ), 669cd365d4cSrvcoresjw ("l3_hit ", l3Hit ), 670cd365d4cSrvcoresjw ("sp_hit ", spHit ), 671cd365d4cSrvcoresjw ("pte_hit ", l3Hit || spHit ), 672cd365d4cSrvcoresjw ("rwHarzad ", io.req.valid && !io.req.ready ), 673cd365d4cSrvcoresjw ("out_blocked ", io.resp.valid && !io.resp.ready), 674cd365d4cSrvcoresjw ) 675cd365d4cSrvcoresjw 676cd365d4cSrvcoresjw for (((perf_out,(perf_name,perf)),i) <- perfinfo.perfEvents.perf_events.zip(perfEvents).zipWithIndex) { 677cd365d4cSrvcoresjw perf_out.incr_step := RegNext(perf) 678cd365d4cSrvcoresjw } 6796d5ddbceSLemover} 680