xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/PageTableCache.scala (revision 1f4a7c0c507b97b8ef570c1ffa0c96b9c47a6b3f)
16d5ddbceSLemover/***************************************************************************************
26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
46d5ddbceSLemover*
56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2.
66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at:
86d5ddbceSLemover*          http://license.coscl.org.cn/MulanPSL2
96d5ddbceSLemover*
106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
136d5ddbceSLemover*
146d5ddbceSLemover* See the Mulan PSL v2 for more details.
156d5ddbceSLemover***************************************************************************************/
166d5ddbceSLemover
176d5ddbceSLemoverpackage xiangshan.cache.mmu
186d5ddbceSLemover
196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters
206d5ddbceSLemoverimport chisel3._
216d5ddbceSLemoverimport chisel3.util._
22b848eea5SLemoverimport chisel3.internal.naming.chiselName
236d5ddbceSLemoverimport xiangshan._
246d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
256d5ddbceSLemoverimport utils._
266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
276d5ddbceSLemoverimport freechips.rocketchip.tilelink._
286d5ddbceSLemover
296d5ddbceSLemover/* ptw cache caches the page table of all the three layers
306d5ddbceSLemover * ptw cache resp at next cycle
316d5ddbceSLemover * the cache should not be blocked
326d5ddbceSLemover * when miss queue if full, just block req outside
336d5ddbceSLemover */
343889e11eSLemover
353889e11eSLemoverclass PageCachePerPespBundle(implicit p: Parameters) extends PtwBundle {
363889e11eSLemover  val hit = Bool()
373889e11eSLemover  val pre = Bool()
383889e11eSLemover  val ppn = UInt(ppnLen.W)
393889e11eSLemover  val perm = new PtePermBundle()
403889e11eSLemover  val ecc = Bool()
413889e11eSLemover  val level = UInt(2.W)
428d8ac704SLemover  val v = Bool()
433889e11eSLemover
443889e11eSLemover  def apply(hit: Bool, pre: Bool, ppn: UInt, perm: PtePermBundle = 0.U.asTypeOf(new PtePermBundle()),
458d8ac704SLemover            ecc: Bool = false.B, level: UInt = 0.U, valid: Bool = true.B) {
463889e11eSLemover    this.hit := hit && !ecc
473889e11eSLemover    this.pre := pre
483889e11eSLemover    this.ppn := ppn
493889e11eSLemover    this.perm := perm
503889e11eSLemover    this.ecc := ecc && hit
513889e11eSLemover    this.level := level
528d8ac704SLemover    this.v := valid
533889e11eSLemover  }
543889e11eSLemover}
553889e11eSLemover
563889e11eSLemoverclass PageCacheRespBundle(implicit p: Parameters) extends PtwBundle {
573889e11eSLemover  val l1 = new PageCachePerPespBundle
583889e11eSLemover  val l2 = new PageCachePerPespBundle
593889e11eSLemover  val l3 = new PageCachePerPespBundle
603889e11eSLemover  val sp = new PageCachePerPespBundle
613889e11eSLemover}
623889e11eSLemover
633889e11eSLemoverclass PtwCacheReq(implicit p: Parameters) extends PtwBundle {
643889e11eSLemover  val req_info = new L2TlbInnerBundle()
653889e11eSLemover  val isFirst = Bool()
66*1f4a7c0cSLemover  val bypassed = Vec(3, Bool())
673889e11eSLemover}
683889e11eSLemover
693889e11eSLemoverclass PtwCacheIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
703889e11eSLemover  val req = Flipped(DecoupledIO(new PtwCacheReq()))
716d5ddbceSLemover  val resp = DecoupledIO(new Bundle {
7245f497a4Shappy-lx    val req_info = new L2TlbInnerBundle()
7394133605SLemover    val isFirst = Bool()
746d5ddbceSLemover    val hit = Bool()
75bc063562SLemover    val prefetch = Bool() // is the entry fetched by prefetch
76*1f4a7c0cSLemover    val bypassed = Bool()
776d5ddbceSLemover    val toFsm = new Bundle {
786d5ddbceSLemover      val l1Hit = Bool()
796d5ddbceSLemover      val l2Hit = Bool()
806d5ddbceSLemover      val ppn = UInt(ppnLen.W)
816d5ddbceSLemover    }
826d5ddbceSLemover    val toTlb = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true)
836d5ddbceSLemover  })
846d5ddbceSLemover  val refill = Flipped(ValidIO(new Bundle {
855854c1edSLemover    val ptes = UInt(blockBits.W)
8645f497a4Shappy-lx    val req_info = new L2TlbInnerBundle()
876d5ddbceSLemover    val level = UInt(log2Up(Level).W)
88b848eea5SLemover    val addr_low = UInt((log2Up(l2tlbParams.blockBytes) - log2Up(XLEN/8)).W)
896d5ddbceSLemover  }))
906d5ddbceSLemover}
916d5ddbceSLemover
92b848eea5SLemover@chiselName
931ca0e4f3SYinan Xuclass PtwCache()(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents {
946d5ddbceSLemover  val io = IO(new PtwCacheIO)
956d5ddbceSLemover
967196f5a2SLemover  val ecc = Code.fromString(l2tlbParams.ecc)
977196f5a2SLemover  val l2EntryType = new PTWEntriesWithEcc(ecc, num = PtwL2SectorSize, tagLen = PtwL2TagLen, level = 1, hasPerm = false)
987196f5a2SLemover  val l3EntryType = new PTWEntriesWithEcc(ecc, num = PtwL3SectorSize, tagLen = PtwL3TagLen, level = 2, hasPerm = true)
997196f5a2SLemover
1006d5ddbceSLemover  // TODO: four caches make the codes dirty, think about how to deal with it
1016d5ddbceSLemover
1026d5ddbceSLemover  val sfence = io.sfence
1036d5ddbceSLemover  val refill = io.refill.bits
10445f497a4Shappy-lx  val refill_prefetch = from_pre(io.refill.bits.req_info.source)
1053889e11eSLemover  val flush = sfence.valid || io.csr.satp.changed
1066d5ddbceSLemover
1076d5ddbceSLemover  // when refill, refuce to accept new req
1085854c1edSLemover  val rwHarzad = if (sramSinglePort) io.refill.valid else false.B
1093889e11eSLemover
1103889e11eSLemover  // handle hand signal and req_info
1116c4dcc2dSLemover  // TODO: replace with FlushableQueue
1126c4dcc2dSLemover  val stageReq = Wire(Decoupled(new PtwCacheReq()))         // enq stage & read page cache valid
1136c4dcc2dSLemover  val stageDelay = Wire(Vec(2, Decoupled(new PtwCacheReq()))) // page cache resp
1146c4dcc2dSLemover  val stageCheck = Wire(Vec(2, Decoupled(new PtwCacheReq()))) // check hit & check ecc
1156c4dcc2dSLemover  val stageResp = Wire(Decoupled(new PtwCacheReq()))         // deq stage
1166c4dcc2dSLemover  stageReq <> io.req
1176c4dcc2dSLemover  PipelineConnect(stageReq, stageDelay(0), stageDelay(1).ready, flush, rwHarzad)
118*1f4a7c0cSLemover  InsideStageConnect(stageDelay(0), stageDelay(1), stageReq.fire)
1196c4dcc2dSLemover  PipelineConnect(stageDelay(1), stageCheck(0), stageCheck(1).ready, flush)
120*1f4a7c0cSLemover  InsideStageConnect(stageCheck(0), stageCheck(1), stageDelay(1).fire)
1216c4dcc2dSLemover  PipelineConnect(stageCheck(1), stageResp, io.resp.ready, flush)
1226c4dcc2dSLemover  stageResp.ready := !stageResp.valid || io.resp.ready
1236d5ddbceSLemover
1246d5ddbceSLemover  // l1: level 0 non-leaf pte
1255854c1edSLemover  val l1 = Reg(Vec(l2tlbParams.l1Size, new PtwEntry(tagLen = PtwL1TagLen)))
1265854c1edSLemover  val l1v = RegInit(0.U(l2tlbParams.l1Size.W))
1275854c1edSLemover  val l1g = Reg(UInt(l2tlbParams.l1Size.W))
12845f497a4Shappy-lx  val l1asids = Reg(Vec(l2tlbParams.l1Size, UInt(AsidLength.W)))
1296d5ddbceSLemover
1306d5ddbceSLemover  // l2: level 1 non-leaf pte
1316d5ddbceSLemover  val l2 = Module(new SRAMTemplate(
1327196f5a2SLemover    l2EntryType,
1335854c1edSLemover    set = l2tlbParams.l2nSets,
1345854c1edSLemover    way = l2tlbParams.l2nWays,
1355854c1edSLemover    singlePort = sramSinglePort
1366d5ddbceSLemover  ))
1375854c1edSLemover  val l2v = RegInit(0.U((l2tlbParams.l2nSets * l2tlbParams.l2nWays).W))
1385854c1edSLemover  val l2g = Reg(UInt((l2tlbParams.l2nSets * l2tlbParams.l2nWays).W))
13945f497a4Shappy-lx  val l2asids = Reg(Vec(l2tlbParams.l2nSets, Vec(l2tlbParams.l2nWays, UInt(AsidLength.W))))
1406d5ddbceSLemover  def getl2vSet(vpn: UInt) = {
1415854c1edSLemover    require(log2Up(l2tlbParams.l2nWays) == log2Down(l2tlbParams.l2nWays))
1426d5ddbceSLemover    val set = genPtwL2SetIdx(vpn)
1435854c1edSLemover    require(set.getWidth == log2Up(l2tlbParams.l2nSets))
1445854c1edSLemover    val l2vVec = l2v.asTypeOf(Vec(l2tlbParams.l2nSets, UInt(l2tlbParams.l2nWays.W)))
1456d5ddbceSLemover    l2vVec(set)
1466d5ddbceSLemover  }
14745f497a4Shappy-lx  def getl2asidSet(vpn: UInt) = {
14845f497a4Shappy-lx    require(log2Up(l2tlbParams.l2nWays) == log2Down(l2tlbParams.l2nWays))
14945f497a4Shappy-lx    val set = genPtwL2SetIdx(vpn)
15045f497a4Shappy-lx    require(set.getWidth == log2Up(l2tlbParams.l2nSets))
15145f497a4Shappy-lx    l2asids(set)
15245f497a4Shappy-lx  }
1536d5ddbceSLemover
1546d5ddbceSLemover  // l3: level 2 leaf pte of 4KB pages
1556d5ddbceSLemover  val l3 = Module(new SRAMTemplate(
1567196f5a2SLemover    l3EntryType,
1575854c1edSLemover    set = l2tlbParams.l3nSets,
1585854c1edSLemover    way = l2tlbParams.l3nWays,
1595854c1edSLemover    singlePort = sramSinglePort
1606d5ddbceSLemover  ))
1615854c1edSLemover  val l3v = RegInit(0.U((l2tlbParams.l3nSets * l2tlbParams.l3nWays).W))
1625854c1edSLemover  val l3g = Reg(UInt((l2tlbParams.l3nSets * l2tlbParams.l3nWays).W))
16345f497a4Shappy-lx  val l3asids = Reg(Vec(l2tlbParams.l3nSets, Vec(l2tlbParams.l3nWays, UInt(AsidLength.W))))
1646d5ddbceSLemover  def getl3vSet(vpn: UInt) = {
1655854c1edSLemover    require(log2Up(l2tlbParams.l3nWays) == log2Down(l2tlbParams.l3nWays))
1666d5ddbceSLemover    val set = genPtwL3SetIdx(vpn)
1675854c1edSLemover    require(set.getWidth == log2Up(l2tlbParams.l3nSets))
1685854c1edSLemover    val l3vVec = l3v.asTypeOf(Vec(l2tlbParams.l3nSets, UInt(l2tlbParams.l3nWays.W)))
1696d5ddbceSLemover    l3vVec(set)
1706d5ddbceSLemover  }
17145f497a4Shappy-lx  def getl3asidSet(vpn: UInt) = {
17245f497a4Shappy-lx    require(log2Up(l2tlbParams.l3nWays) == log2Down(l2tlbParams.l3nWays))
17345f497a4Shappy-lx    val set = genPtwL3SetIdx(vpn)
17445f497a4Shappy-lx    require(set.getWidth == log2Up(l2tlbParams.l3nSets))
17545f497a4Shappy-lx    l3asids(set)
17645f497a4Shappy-lx  }
1776d5ddbceSLemover
1786d5ddbceSLemover  // sp: level 0/1 leaf pte of 1GB/2MB super pages
1795854c1edSLemover  val sp = Reg(Vec(l2tlbParams.spSize, new PtwEntry(tagLen = SPTagLen, hasPerm = true, hasLevel = true)))
1805854c1edSLemover  val spv = RegInit(0.U(l2tlbParams.spSize.W))
1815854c1edSLemover  val spg = Reg(UInt(l2tlbParams.spSize.W))
18245f497a4Shappy-lx  val spasids = Reg(Vec(l2tlbParams.spSize, UInt(AsidLength.W)))
1836d5ddbceSLemover
1846d5ddbceSLemover  // Access Perf
1855854c1edSLemover  val l1AccessPerf = Wire(Vec(l2tlbParams.l1Size, Bool()))
1865854c1edSLemover  val l2AccessPerf = Wire(Vec(l2tlbParams.l2nWays, Bool()))
1875854c1edSLemover  val l3AccessPerf = Wire(Vec(l2tlbParams.l3nWays, Bool()))
1885854c1edSLemover  val spAccessPerf = Wire(Vec(l2tlbParams.spSize, Bool()))
1896d5ddbceSLemover  l1AccessPerf.map(_ := false.B)
1906d5ddbceSLemover  l2AccessPerf.map(_ := false.B)
1916d5ddbceSLemover  l3AccessPerf.map(_ := false.B)
1926d5ddbceSLemover  spAccessPerf.map(_ := false.B)
1936d5ddbceSLemover
1946c4dcc2dSLemover  val stageDelay_valid_1cycle = OneCycleValid(stageReq.fire, flush)      // catch ram data
1956c4dcc2dSLemover  val stageCheck_valid_1cycle = OneCycleValid(stageDelay(1).fire, flush) // replace & perf counter
1966c4dcc2dSLemover  val stageResp_valid_1cycle = OneCycleValid(stageCheck(1).fire, flush)  // ecc flush
1973889e11eSLemover
198*1f4a7c0cSLemover
199*1f4a7c0cSLemover  def vpn_match(vpn1: UInt, vpn2: UInt, level: Int) = {
200*1f4a7c0cSLemover    vpn1(vpnnLen*3-1, vpnnLen*(2-level)+3) === vpn2(vpnnLen*3-1, vpnnLen*(2-level)+3)
201*1f4a7c0cSLemover  }
202*1f4a7c0cSLemover  // NOTE: not actually bypassed, just check if hit, re-access the page cache
203*1f4a7c0cSLemover  def refill_bypass(vpn: UInt, level: Int) = {
204*1f4a7c0cSLemover    io.refill.valid && (level.U === io.refill.bits.level) && vpn_match(io.refill.bits.req_info.vpn, vpn, level),
205*1f4a7c0cSLemover  }
206*1f4a7c0cSLemover
2076d5ddbceSLemover  // l1
2085854c1edSLemover  val ptwl1replace = ReplacementPolicy.fromString(l2tlbParams.l1Replacer, l2tlbParams.l1Size)
209bc063562SLemover  val (l1Hit, l1HitPPN, l1Pre) = {
2106c4dcc2dSLemover    val hitVecT = l1.zipWithIndex.map { case (e, i) => e.hit(stageReq.bits.req_info.vpn, io.csr.satp.asid) && l1v(i) }
2116c4dcc2dSLemover    val hitVec = hitVecT.map(RegEnable(_, stageReq.fire))
212*1f4a7c0cSLemover
213*1f4a7c0cSLemover    // stageDelay, but check for l1
214*1f4a7c0cSLemover    val hitPPN = DataHoldBypass(ParallelMux(hitVec zip l1.map(_.ppn)), stageDelay_valid_1cycle)
215*1f4a7c0cSLemover    val hitPre = DataHoldBypass(ParallelMux(hitVec zip l1.map(_.prefetch)), stageDelay_valid_1cycle)
216*1f4a7c0cSLemover    val hit = DataHoldBypass(ParallelOR(hitVec), stageDelay_valid_1cycle)
2176d5ddbceSLemover
2186c4dcc2dSLemover    when (hit && stageDelay_valid_1cycle) { ptwl1replace.access(OHToUInt(hitVec)) }
2196d5ddbceSLemover
2206c4dcc2dSLemover    l1AccessPerf.zip(hitVec).map{ case (l, h) => l := h && stageDelay_valid_1cycle}
2215854c1edSLemover    for (i <- 0 until l2tlbParams.l1Size) {
2226c4dcc2dSLemover      XSDebug(stageReq.fire, p"[l1] l1(${i.U}) ${l1(i)} hit:${l1(i).hit(stageReq.bits.req_info.vpn, io.csr.satp.asid)}\n")
2236d5ddbceSLemover    }
2246c4dcc2dSLemover    XSDebug(stageReq.fire, p"[l1] l1v:${Binary(l1v)} hitVecT:${Binary(VecInit(hitVecT).asUInt)}\n")
2256c4dcc2dSLemover    XSDebug(stageDelay(0).valid, p"[l1] l1Hit:${hit} l1HitPPN:0x${Hexadecimal(hitPPN)} hitVec:${VecInit(hitVec).asUInt}\n")
2266d5ddbceSLemover
2276d5ddbceSLemover    VecInit(hitVecT).suggestName(s"l1_hitVecT")
2286d5ddbceSLemover    VecInit(hitVec).suggestName(s"l1_hitVec")
2296d5ddbceSLemover
2306c4dcc2dSLemover    // synchronize with other entries with RegEnable
2316c4dcc2dSLemover    (RegEnable(hit, stageDelay(1).fire),
2326c4dcc2dSLemover     RegEnable(hitPPN, stageDelay(1).fire),
2336c4dcc2dSLemover     RegEnable(hitPre, stageDelay(1).fire))
2346d5ddbceSLemover  }
2356d5ddbceSLemover
2366d5ddbceSLemover  // l2
2375854c1edSLemover  val ptwl2replace = ReplacementPolicy.fromString(l2tlbParams.l2Replacer,l2tlbParams.l2nWays,l2tlbParams.l2nSets)
238bc063562SLemover  val (l2Hit, l2HitPPN, l2Pre, l2eccError) = {
2396c4dcc2dSLemover    val ridx = genPtwL2SetIdx(stageReq.bits.req_info.vpn)
2406c4dcc2dSLemover    l2.io.r.req.valid := stageReq.fire
2416d5ddbceSLemover    l2.io.r.req.bits.apply(setIdx = ridx)
2426c4dcc2dSLemover
2436c4dcc2dSLemover    // delay one cycle after sram read
2446c4dcc2dSLemover    val data_resp = DataHoldBypass(l2.io.r.resp.data, stageDelay_valid_1cycle)
2458a0e4b2fSLemover    val vVec_delay = DataHoldBypass(getl2vSet(stageDelay(0).bits.req_info.vpn), stageDelay_valid_1cycle)
2466c4dcc2dSLemover
2476c4dcc2dSLemover    // check hit and ecc
2486c4dcc2dSLemover    val check_vpn = stageCheck(0).bits.req_info.vpn
2496c4dcc2dSLemover    val ramDatas = RegEnable(data_resp, stageDelay(1).fire)
2508a0e4b2fSLemover    val vVec = RegEnable(vVec_delay, stageDelay(1).fire).asBools()
2516c4dcc2dSLemover
2526c4dcc2dSLemover    val hitVec = VecInit(ramDatas.zip(vVec).map { case (wayData, v) =>
2536c4dcc2dSLemover      wayData.entries.hit(check_vpn, io.csr.satp.asid) && v })
2547196f5a2SLemover    val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas)
2557196f5a2SLemover    val hitWayData = hitWayEntry.entries
2566c4dcc2dSLemover    val hit = ParallelOR(hitVec)
2575854c1edSLemover    val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l2nWays).map(_.U))
2583889e11eSLemover    val eccError = hitWayEntry.decode()
2597196f5a2SLemover
2606d5ddbceSLemover    ridx.suggestName(s"l2_ridx")
2616d5ddbceSLemover    ramDatas.suggestName(s"l2_ramDatas")
2626d5ddbceSLemover    hitVec.suggestName(s"l2_hitVec")
2636d5ddbceSLemover    hitWayData.suggestName(s"l2_hitWayData")
2646d5ddbceSLemover    hitWay.suggestName(s"l2_hitWay")
2656d5ddbceSLemover
2666c4dcc2dSLemover    when (hit && stageCheck_valid_1cycle) { ptwl2replace.access(genPtwL2SetIdx(check_vpn), hitWay) }
2676d5ddbceSLemover
2686c4dcc2dSLemover    l2AccessPerf.zip(hitVec).map{ case (l, h) => l := h && stageCheck_valid_1cycle }
2696c4dcc2dSLemover    XSDebug(stageDelay_valid_1cycle, p"[l2] ridx:0x${Hexadecimal(ridx)}\n")
2705854c1edSLemover    for (i <- 0 until l2tlbParams.l2nWays) {
2716c4dcc2dSLemover      XSDebug(stageCheck_valid_1cycle, p"[l2] ramDatas(${i.U}) ${ramDatas(i)}  l2v:${vVec(i)}  hit:${hit}\n")
2726d5ddbceSLemover    }
2736c4dcc2dSLemover    XSDebug(stageCheck_valid_1cycle, p"[l2] l2Hit:${hit} l2HitPPN:0x${Hexadecimal(hitWayData.ppns(genPtwL2SectorIdx(check_vpn)))} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} vidx:${vVec}\n")
2746d5ddbceSLemover
2756c4dcc2dSLemover    (hit, hitWayData.ppns(genPtwL2SectorIdx(check_vpn)), hitWayData.prefetch, eccError)
2766d5ddbceSLemover  }
2776d5ddbceSLemover
2786d5ddbceSLemover  // l3
2795854c1edSLemover  val ptwl3replace = ReplacementPolicy.fromString(l2tlbParams.l3Replacer,l2tlbParams.l3nWays,l2tlbParams.l3nSets)
280bc063562SLemover  val (l3Hit, l3HitData, l3Pre, l3eccError) = {
2816c4dcc2dSLemover    val ridx = genPtwL3SetIdx(stageReq.bits.req_info.vpn)
2826c4dcc2dSLemover    l3.io.r.req.valid := stageReq.fire
2836d5ddbceSLemover    l3.io.r.req.bits.apply(setIdx = ridx)
2846c4dcc2dSLemover
2856c4dcc2dSLemover    // delay one cycle after sram read
2866c4dcc2dSLemover    val data_resp = DataHoldBypass(l3.io.r.resp.data, stageDelay_valid_1cycle)
2878a0e4b2fSLemover    val vVec_delay = DataHoldBypass(getl3vSet(stageDelay(0).bits.req_info.vpn), stageDelay_valid_1cycle)
288*1f4a7c0cSLemover    val bypass_delay = DataHoldBypass(refill_bypass(stageDelay(0).bits.req_info.vpn, 2), stageDelay_valid_1cycle || io.refill.valid)
2896c4dcc2dSLemover
2906c4dcc2dSLemover    // check hit and ecc
2916c4dcc2dSLemover    val check_vpn = stageCheck(0).bits.req_info.vpn
2926c4dcc2dSLemover    val ramDatas = RegEnable(data_resp, stageDelay(1).fire)
2938a0e4b2fSLemover    val vVec = RegEnable(vVec_delay, stageDelay(1).fire).asBools()
2946c4dcc2dSLemover
2956c4dcc2dSLemover    val hitVec = VecInit(ramDatas.zip(vVec).map{ case (wayData, v) =>
2966c4dcc2dSLemover      wayData.entries.hit(check_vpn, io.csr.satp.asid) && v })
2977196f5a2SLemover    val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas)
2987196f5a2SLemover    val hitWayData = hitWayEntry.entries
2997196f5a2SLemover    val hitWayEcc = hitWayEntry.ecc
3006c4dcc2dSLemover    val hit = ParallelOR(hitVec)
3015854c1edSLemover    val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l3nWays).map(_.U))
3023889e11eSLemover    val eccError = hitWayEntry.decode()
3036d5ddbceSLemover
3046c4dcc2dSLemover    when (hit && stageCheck_valid_1cycle) { ptwl3replace.access(genPtwL3SetIdx(check_vpn), hitWay) }
3057196f5a2SLemover
3066c4dcc2dSLemover    l3AccessPerf.zip(hitVec).map{ case (l, h) => l := h && stageCheck_valid_1cycle }
3076c4dcc2dSLemover    XSDebug(stageReq.fire, p"[l3] ridx:0x${Hexadecimal(ridx)}\n")
3085854c1edSLemover    for (i <- 0 until l2tlbParams.l3nWays) {
3096c4dcc2dSLemover      XSDebug(stageCheck_valid_1cycle, p"[l3] ramDatas(${i.U}) ${ramDatas(i)}  l3v:${vVec(i)}  hit:${hitVec(i)}\n")
3106d5ddbceSLemover    }
3116c4dcc2dSLemover    XSDebug(stageCheck_valid_1cycle, p"[l3] l3Hit:${hit} l3HitData:${hitWayData} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} v:${vVec}\n")
3126d5ddbceSLemover
3136d5ddbceSLemover    ridx.suggestName(s"l3_ridx")
3146d5ddbceSLemover    ramDatas.suggestName(s"l3_ramDatas")
3156d5ddbceSLemover    hitVec.suggestName(s"l3_hitVec")
3166d5ddbceSLemover    hitWay.suggestName(s"l3_hitWay")
3176d5ddbceSLemover
3183889e11eSLemover    (hit, hitWayData, hitWayData.prefetch, eccError)
3196d5ddbceSLemover  }
3206c4dcc2dSLemover  val l3HitPPN = l3HitData.ppns(genPtwL3SectorIdx(stageCheck(0).bits.req_info.vpn))
3216c4dcc2dSLemover  val l3HitPerm = l3HitData.perms.getOrElse(0.U.asTypeOf(Vec(PtwL3SectorSize, new PtePermBundle)))(genPtwL3SectorIdx(stageCheck(0).bits.req_info.vpn))
322*1f4a7c0cSLemover  val l3HitValid = l3HitData.vs(genPtwL3SectorIdx(stageCheck(0).bits.req_info.vpn))
3236d5ddbceSLemover
3246d5ddbceSLemover  // super page
3255854c1edSLemover  val spreplace = ReplacementPolicy.fromString(l2tlbParams.spReplacer, l2tlbParams.spSize)
3268d8ac704SLemover  val (spHit, spHitData, spPre, spValid) = {
3276c4dcc2dSLemover    val hitVecT = sp.zipWithIndex.map { case (e, i) => e.hit(stageReq.bits.req_info.vpn, io.csr.satp.asid) && spv(i) }
3286c4dcc2dSLemover    val hitVec = hitVecT.map(RegEnable(_, stageReq.fire))
3296d5ddbceSLemover    val hitData = ParallelPriorityMux(hitVec zip sp)
3306c4dcc2dSLemover    val hit = ParallelOR(hitVec)
3316d5ddbceSLemover
3326c4dcc2dSLemover    when (hit && stageDelay_valid_1cycle) { spreplace.access(OHToUInt(hitVec)) }
3336d5ddbceSLemover
3346c4dcc2dSLemover    spAccessPerf.zip(hitVec).map{ case (s, h) => s := h && stageDelay_valid_1cycle }
3355854c1edSLemover    for (i <- 0 until l2tlbParams.spSize) {
3366c4dcc2dSLemover      XSDebug(stageReq.fire, p"[sp] sp(${i.U}) ${sp(i)} hit:${sp(i).hit(stageReq.bits.req_info.vpn, io.csr.satp.asid)} spv:${spv(i)}\n")
3376d5ddbceSLemover    }
3386c4dcc2dSLemover    XSDebug(stageDelay_valid_1cycle, p"[sp] spHit:${hit} spHitData:${hitData} hitVec:${Binary(VecInit(hitVec).asUInt)}\n")
3396d5ddbceSLemover
3406d5ddbceSLemover    VecInit(hitVecT).suggestName(s"sp_hitVecT")
3416d5ddbceSLemover    VecInit(hitVec).suggestName(s"sp_hitVec")
3426d5ddbceSLemover
3436c4dcc2dSLemover    (RegEnable(hit, stageDelay(1).fire),
3446c4dcc2dSLemover     RegEnable(hitData, stageDelay(1).fire),
3456c4dcc2dSLemover     RegEnable(hitData.prefetch, stageDelay(1).fire),
3466c4dcc2dSLemover     RegEnable(hitData.v, stageDelay(1).fire()))
3476d5ddbceSLemover  }
3486d5ddbceSLemover  val spHitPerm = spHitData.perm.getOrElse(0.U.asTypeOf(new PtePermBundle))
3496d5ddbceSLemover  val spHitLevel = spHitData.level.getOrElse(0.U)
3506d5ddbceSLemover
3516c4dcc2dSLemover  val check_res = Wire(new PageCacheRespBundle)
3526c4dcc2dSLemover  check_res.l1.apply(l1Hit, l1Pre, l1HitPPN)
3536c4dcc2dSLemover  check_res.l2.apply(l2Hit, l2Pre, l2HitPPN, ecc = l2eccError)
354*1f4a7c0cSLemover  check_res.l3.apply(l3Hit, l3Pre, l3HitPPN, l3HitPerm, l3eccError, valid = l3HitValid)
3556c4dcc2dSLemover  check_res.sp.apply(spHit, spPre, spHitData.ppn, spHitPerm, false.B, spHitLevel, spValid)
3566d5ddbceSLemover
3576c4dcc2dSLemover  val resp_res = Reg(new PageCacheRespBundle)
3586c4dcc2dSLemover  when (stageCheck(1).fire) { resp_res := check_res }
3593889e11eSLemover
360*1f4a7c0cSLemover  // stageResp bypass
361*1f4a7c0cSLemover  val bypassed = Wire(Vec(3, Bool()))
362*1f4a7c0cSLemover  bypassed.indices.foreach(i =>
363*1f4a7c0cSLemover    bypassed(i) := stageResp.bits.bypassed(i) ||
364*1f4a7c0cSLemover      ValidHoldBypass(refill_bypass(stageResp.bits.req_info.vpn, i),
365*1f4a7c0cSLemover        OneCycleValid(stageCheck(1).fire, false.B) || io.refill.valid)
366*1f4a7c0cSLemover  )
367*1f4a7c0cSLemover
3686c4dcc2dSLemover  io.resp.bits.req_info   := stageResp.bits.req_info
3696c4dcc2dSLemover  io.resp.bits.isFirst  := stageResp.bits.isFirst
3706c4dcc2dSLemover  io.resp.bits.hit      := resp_res.l3.hit || resp_res.sp.hit
371*1f4a7c0cSLemover  io.resp.bits.bypassed := bypassed(2) || (bypassed(1) && !resp_res.l2.hit) || (bypassed(0) && !resp_res.l1.hit)
3726c4dcc2dSLemover  io.resp.bits.prefetch := resp_res.l3.pre && resp_res.l3.hit || resp_res.sp.pre && resp_res.sp.hit
3736c4dcc2dSLemover  io.resp.bits.toFsm.l1Hit := resp_res.l1.hit
3746c4dcc2dSLemover  io.resp.bits.toFsm.l2Hit := resp_res.l2.hit
3756c4dcc2dSLemover  io.resp.bits.toFsm.ppn   := Mux(resp_res.l2.hit, resp_res.l2.ppn, resp_res.l1.ppn)
3766c4dcc2dSLemover  io.resp.bits.toTlb.tag   := stageResp.bits.req_info.vpn
3773889e11eSLemover  io.resp.bits.toTlb.asid  := io.csr.satp.asid // DontCare
3786c4dcc2dSLemover  io.resp.bits.toTlb.ppn   := Mux(resp_res.l3.hit, resp_res.l3.ppn, resp_res.sp.ppn)
3796c4dcc2dSLemover  io.resp.bits.toTlb.perm.map(_ := Mux(resp_res.l3.hit, resp_res.l3.perm, resp_res.sp.perm))
3806c4dcc2dSLemover  io.resp.bits.toTlb.level.map(_ := Mux(resp_res.l3.hit, 2.U, resp_res.sp.level))
3816c4dcc2dSLemover  io.resp.bits.toTlb.prefetch := from_pre(stageResp.bits.req_info.source)
3826c4dcc2dSLemover  io.resp.bits.toTlb.v := Mux(resp_res.sp.hit, resp_res.sp.v, resp_res.l3.v)
3836c4dcc2dSLemover  io.resp.valid := stageResp.valid
3846c4dcc2dSLemover  XSError(stageResp.valid && resp_res.l3.hit && resp_res.sp.hit, "normal page and super page both hit")
385*1f4a7c0cSLemover  XSError(stageResp.valid && io.resp.bits.hit && bypassed(2), "page cache, bypassed but hit")
3866d5ddbceSLemover
3876d5ddbceSLemover  // refill Perf
3885854c1edSLemover  val l1RefillPerf = Wire(Vec(l2tlbParams.l1Size, Bool()))
3895854c1edSLemover  val l2RefillPerf = Wire(Vec(l2tlbParams.l2nWays, Bool()))
3905854c1edSLemover  val l3RefillPerf = Wire(Vec(l2tlbParams.l3nWays, Bool()))
3915854c1edSLemover  val spRefillPerf = Wire(Vec(l2tlbParams.spSize, Bool()))
3926d5ddbceSLemover  l1RefillPerf.map(_ := false.B)
3936d5ddbceSLemover  l2RefillPerf.map(_ := false.B)
3946d5ddbceSLemover  l3RefillPerf.map(_ := false.B)
3956d5ddbceSLemover  spRefillPerf.map(_ := false.B)
3966d5ddbceSLemover
3976d5ddbceSLemover  // refill
3986d5ddbceSLemover  l2.io.w.req <> DontCare
3996d5ddbceSLemover  l3.io.w.req <> DontCare
4006d5ddbceSLemover  l2.io.w.req.valid := false.B
4016d5ddbceSLemover  l3.io.w.req.valid := false.B
4026d5ddbceSLemover
4035854c1edSLemover  def get_part(data: UInt, index: UInt): UInt = {
4045854c1edSLemover    val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W)))
4055854c1edSLemover    inner_data(index)
4065854c1edSLemover  }
4075854c1edSLemover
4086d5ddbceSLemover  val memRdata = refill.ptes
409b848eea5SLemover  val memSelData = get_part(memRdata, refill.addr_low)
4105854c1edSLemover  val memPtes = (0 until (l2tlbParams.blockBytes/(XLEN/8))).map(i => memRdata((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle))
4116d5ddbceSLemover  val memPte = memSelData.asTypeOf(new PteBundle)
4126d5ddbceSLemover
413b848eea5SLemover  memPte.suggestName("memPte")
414b848eea5SLemover
4156d5ddbceSLemover  // TODO: handle sfenceLatch outsize
4163889e11eSLemover  when (io.refill.valid && !memPte.isPf(refill.level) && !flush ) {
4176d5ddbceSLemover    when (refill.level === 0.U && !memPte.isLeaf()) {
4185854c1edSLemover      // val refillIdx = LFSR64()(log2Up(l2tlbParams.l1Size)-1,0) // TODO: may be LRU
4196d5ddbceSLemover      val refillIdx = replaceWrapper(l1v, ptwl1replace.way)
4206d5ddbceSLemover      refillIdx.suggestName(s"PtwL1RefillIdx")
4216d5ddbceSLemover      val rfOH = UIntToOH(refillIdx)
42245f497a4Shappy-lx      l1(refillIdx).refill(
42345f497a4Shappy-lx        refill.req_info.vpn,
42445f497a4Shappy-lx        io.csr.satp.asid,
42545f497a4Shappy-lx        memSelData,
42645f497a4Shappy-lx        0.U,
42745f497a4Shappy-lx        refill_prefetch
42845f497a4Shappy-lx      )
4296d5ddbceSLemover      ptwl1replace.access(refillIdx)
4306d5ddbceSLemover      l1v := l1v | rfOH
4316d5ddbceSLemover      l1g := (l1g & ~rfOH) | Mux(memPte.perm.g, rfOH, 0.U)
4326d5ddbceSLemover
4335854c1edSLemover      for (i <- 0 until l2tlbParams.l1Size) {
4346d5ddbceSLemover        l1RefillPerf(i) := i.U === refillIdx
4356d5ddbceSLemover      }
4366d5ddbceSLemover
4378d8ac704SLemover      XSDebug(p"[l1 refill] refillIdx:${refillIdx} refillEntry:${l1(refillIdx).genPtwEntry(refill.req_info.vpn, io.csr.satp.asid, memSelData, 0.U, prefetch = refill_prefetch)}\n")
4386d5ddbceSLemover      XSDebug(p"[l1 refill] l1v:${Binary(l1v)}->${Binary(l1v | rfOH)} l1g:${Binary(l1g)}->${Binary((l1g & ~rfOH) | Mux(memPte.perm.g, rfOH, 0.U))}\n")
4396d5ddbceSLemover
4406d5ddbceSLemover      refillIdx.suggestName(s"l1_refillIdx")
4416d5ddbceSLemover      rfOH.suggestName(s"l1_rfOH")
4426d5ddbceSLemover    }
4436d5ddbceSLemover
4446d5ddbceSLemover    when (refill.level === 1.U && !memPte.isLeaf()) {
44545f497a4Shappy-lx      val refillIdx = genPtwL2SetIdx(refill.req_info.vpn)
4466c4dcc2dSLemover      val victimWay = replaceWrapper(getl2vSet(refill.req_info.vpn), ptwl2replace.way(refillIdx))
4476d5ddbceSLemover      val victimWayOH = UIntToOH(victimWay)
4486d5ddbceSLemover      val rfvOH = UIntToOH(Cat(refillIdx, victimWay))
4497196f5a2SLemover      val wdata = Wire(l2EntryType)
4503889e11eSLemover      wdata.gen(
45145f497a4Shappy-lx        vpn = refill.req_info.vpn,
45245f497a4Shappy-lx        asid = io.csr.satp.asid,
45345f497a4Shappy-lx        data = memRdata,
45445f497a4Shappy-lx        levelUInt = 1.U,
45545f497a4Shappy-lx        refill_prefetch
45645f497a4Shappy-lx      )
4576d5ddbceSLemover      l2.io.w.apply(
4586d5ddbceSLemover        valid = true.B,
4596d5ddbceSLemover        setIdx = refillIdx,
4607196f5a2SLemover        data = wdata,
4616d5ddbceSLemover        waymask = victimWayOH
4626d5ddbceSLemover      )
4636d5ddbceSLemover      ptwl2replace.access(refillIdx, victimWay)
4646d5ddbceSLemover      l2v := l2v | rfvOH
4656d5ddbceSLemover      l2g := l2g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U)
4666d5ddbceSLemover
4675854c1edSLemover      for (i <- 0 until l2tlbParams.l2nWays) {
4686d5ddbceSLemover        l2RefillPerf(i) := i.U === victimWay
4696d5ddbceSLemover      }
4706d5ddbceSLemover
4716d5ddbceSLemover      XSDebug(p"[l2 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n")
47245f497a4Shappy-lx      XSDebug(p"[l2 refill] refilldata:0x${wdata}\n")
4736d5ddbceSLemover      XSDebug(p"[l2 refill] l2v:${Binary(l2v)} -> ${Binary(l2v | rfvOH)}\n")
4746d5ddbceSLemover      XSDebug(p"[l2 refill] l2g:${Binary(l2g)} -> ${Binary(l2g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n")
4756d5ddbceSLemover
4766d5ddbceSLemover      refillIdx.suggestName(s"l2_refillIdx")
4776d5ddbceSLemover      victimWay.suggestName(s"l2_victimWay")
4786d5ddbceSLemover      victimWayOH.suggestName(s"l2_victimWayOH")
4796d5ddbceSLemover      rfvOH.suggestName(s"l2_rfvOH")
4806d5ddbceSLemover    }
4816d5ddbceSLemover
4826d5ddbceSLemover    when (refill.level === 2.U && memPte.isLeaf()) {
48345f497a4Shappy-lx      val refillIdx = genPtwL3SetIdx(refill.req_info.vpn)
4846c4dcc2dSLemover      val victimWay = replaceWrapper(getl3vSet(refill.req_info.vpn), ptwl3replace.way(refillIdx))
4856d5ddbceSLemover      val victimWayOH = UIntToOH(victimWay)
4866d5ddbceSLemover      val rfvOH = UIntToOH(Cat(refillIdx, victimWay))
4877196f5a2SLemover      val wdata = Wire(l3EntryType)
4883889e11eSLemover      wdata.gen(
48945f497a4Shappy-lx        vpn = refill.req_info.vpn,
49045f497a4Shappy-lx        asid = io.csr.satp.asid,
49145f497a4Shappy-lx        data = memRdata,
49245f497a4Shappy-lx        levelUInt = 2.U,
49345f497a4Shappy-lx        refill_prefetch
49445f497a4Shappy-lx      )
4956d5ddbceSLemover      l3.io.w.apply(
4966d5ddbceSLemover        valid = true.B,
4976d5ddbceSLemover        setIdx = refillIdx,
4987196f5a2SLemover        data = wdata,
4996d5ddbceSLemover        waymask = victimWayOH
5006d5ddbceSLemover      )
5016d5ddbceSLemover      ptwl3replace.access(refillIdx, victimWay)
5026d5ddbceSLemover      l3v := l3v | rfvOH
5036d5ddbceSLemover      l3g := l3g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U)
5046d5ddbceSLemover
5055854c1edSLemover      for (i <- 0 until l2tlbParams.l3nWays) {
5066d5ddbceSLemover        l3RefillPerf(i) := i.U === victimWay
5076d5ddbceSLemover      }
5086d5ddbceSLemover
5096d5ddbceSLemover      XSDebug(p"[l3 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n")
51045f497a4Shappy-lx      XSDebug(p"[l3 refill] refilldata:0x${wdata}\n")
5116d5ddbceSLemover      XSDebug(p"[l3 refill] l3v:${Binary(l3v)} -> ${Binary(l3v | rfvOH)}\n")
5126d5ddbceSLemover      XSDebug(p"[l3 refill] l3g:${Binary(l3g)} -> ${Binary(l3g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n")
5136d5ddbceSLemover
5146d5ddbceSLemover      refillIdx.suggestName(s"l3_refillIdx")
5156d5ddbceSLemover      victimWay.suggestName(s"l3_victimWay")
5166d5ddbceSLemover      victimWayOH.suggestName(s"l3_victimWayOH")
5176d5ddbceSLemover      rfvOH.suggestName(s"l3_rfvOH")
5186d5ddbceSLemover    }
5198d8ac704SLemover  }
5208d8ac704SLemover
5218d8ac704SLemover  // misc entries: super & invalid
5228d8ac704SLemover  when (io.refill.valid && !flush && (refill.level === 0.U || refill.level === 1.U) && (memPte.isLeaf() || memPte.isPf(refill.level))) {
5235854c1edSLemover    val refillIdx = spreplace.way// LFSR64()(log2Up(l2tlbParams.spSize)-1,0) // TODO: may be LRU
5246d5ddbceSLemover    val rfOH = UIntToOH(refillIdx)
52545f497a4Shappy-lx    sp(refillIdx).refill(
52645f497a4Shappy-lx      refill.req_info.vpn,
52745f497a4Shappy-lx      io.csr.satp.asid,
52845f497a4Shappy-lx      memSelData,
52945f497a4Shappy-lx      refill.level,
5308d8ac704SLemover      refill_prefetch,
5318d8ac704SLemover      !memPte.isPf(refill.level),
53245f497a4Shappy-lx    )
5336d5ddbceSLemover    spreplace.access(refillIdx)
5346d5ddbceSLemover    spv := spv | rfOH
5356d5ddbceSLemover    spg := spg & ~rfOH | Mux(memPte.perm.g, rfOH, 0.U)
5366d5ddbceSLemover
5375854c1edSLemover    for (i <- 0 until l2tlbParams.spSize) {
5386d5ddbceSLemover      spRefillPerf(i) := i.U === refillIdx
5396d5ddbceSLemover    }
5406d5ddbceSLemover
54145f497a4Shappy-lx    XSDebug(p"[sp refill] refillIdx:${refillIdx} refillEntry:${sp(refillIdx).genPtwEntry(refill.req_info.vpn, io.csr.satp.asid, memSelData, refill.level, refill_prefetch)}\n")
5426d5ddbceSLemover    XSDebug(p"[sp refill] spv:${Binary(spv)}->${Binary(spv | rfOH)} spg:${Binary(spg)}->${Binary(spg & ~rfOH | Mux(memPte.perm.g, rfOH, 0.U))}\n")
5436d5ddbceSLemover
5446d5ddbceSLemover    refillIdx.suggestName(s"sp_refillIdx")
5456d5ddbceSLemover    rfOH.suggestName(s"sp_rfOH")
5466d5ddbceSLemover  }
5476d5ddbceSLemover
5486c4dcc2dSLemover  val l2eccFlush = resp_res.l2.ecc && stageResp_valid_1cycle // RegNext(l2eccError, init = false.B)
5496c4dcc2dSLemover  val l3eccFlush = resp_res.l3.ecc && stageResp_valid_1cycle // RegNext(l3eccError, init = false.B)
5506c4dcc2dSLemover  val eccVpn = stageResp.bits.req_info.vpn
5517196f5a2SLemover
5526c4dcc2dSLemover  XSError(l2eccFlush, "l2tlb.cache.l2 ecc error. Should not happen at sim stage")
5536c4dcc2dSLemover  XSError(l3eccFlush, "l2tlb.cache.l3 ecc error. Should not happen at sim stage")
5547196f5a2SLemover  when (l2eccFlush) {
5557196f5a2SLemover    val flushSetIdxOH = UIntToOH(genPtwL2SetIdx(eccVpn))
5567196f5a2SLemover    val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l2nWays, a.asUInt) }).asUInt
5577196f5a2SLemover    l2v := l2v & ~flushMask
5587196f5a2SLemover    l2g := l2g & ~flushMask
5597196f5a2SLemover  }
5607196f5a2SLemover
5617196f5a2SLemover  when (l3eccFlush) {
5627196f5a2SLemover    val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(eccVpn))
5637196f5a2SLemover    val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt
5647196f5a2SLemover    l3v := l3v & ~flushMask
5657196f5a2SLemover    l3g := l3g & ~flushMask
5667196f5a2SLemover  }
5677196f5a2SLemover
5686d5ddbceSLemover  // sfence
5696d5ddbceSLemover  when (sfence.valid) {
57045f497a4Shappy-lx    val l1asidhit = VecInit(l1asids.map(_ === sfence.bits.asid)).asUInt
57145f497a4Shappy-lx    val spasidhit = VecInit(spasids.map(_ === sfence.bits.asid)).asUInt
57245f497a4Shappy-lx    val sfence_vpn = sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen)
57345f497a4Shappy-lx
5746d5ddbceSLemover    when (sfence.bits.rs1/*va*/) {
5756d5ddbceSLemover      when (sfence.bits.rs2) {
5766d5ddbceSLemover        // all va && all asid
5776d5ddbceSLemover        l1v := 0.U
5786d5ddbceSLemover        l2v := 0.U
5796d5ddbceSLemover        l3v := 0.U
5806d5ddbceSLemover        spv := 0.U
5816d5ddbceSLemover      } .otherwise {
5826d5ddbceSLemover        // all va && specific asid except global
58345f497a4Shappy-lx
58445f497a4Shappy-lx        l1v := l1v & (~l1asidhit | l1g)
5856d5ddbceSLemover        l2v := l2v & l2g
5866d5ddbceSLemover        l3v := l3v & l3g
58745f497a4Shappy-lx        spv := spv & (~spasidhit | spg)
5886d5ddbceSLemover      }
5896d5ddbceSLemover    } .otherwise {
5906d5ddbceSLemover      // val flushMask = UIntToOH(genTlbL2Idx(sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen)))
59145f497a4Shappy-lx      val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(sfence_vpn))
5925854c1edSLemover      // val flushMask = VecInit(flushSetIdxOH.asBools.map(Fill(l2tlbParams.l3nWays, _.asUInt))).asUInt
5935854c1edSLemover      val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt
5946d5ddbceSLemover      flushSetIdxOH.suggestName(s"sfence_nrs1_flushSetIdxOH")
5956d5ddbceSLemover      flushMask.suggestName(s"sfence_nrs1_flushMask")
59645f497a4Shappy-lx
5976d5ddbceSLemover      when (sfence.bits.rs2) {
5986d5ddbceSLemover        // specific leaf of addr && all asid
5996d5ddbceSLemover        l3v := l3v & ~flushMask
60045f497a4Shappy-lx        spv := spv & (~VecInit(sp.map(_.hit(sfence_vpn, sfence.bits.asid, ignoreAsid = true))).asUInt | spg)
6016d5ddbceSLemover      } .otherwise {
6026d5ddbceSLemover        // specific leaf of addr && specific asid
6036d5ddbceSLemover        l3v := l3v & (~flushMask | l3g)
60445f497a4Shappy-lx        spv := spv & (~VecInit(sp.map(_.hit(sfence_vpn, sfence.bits.asid))).asUInt | spg)
6056d5ddbceSLemover      }
6066d5ddbceSLemover    }
6076d5ddbceSLemover  }
6086d5ddbceSLemover
609*1f4a7c0cSLemover  def InsideStageConnect(in: DecoupledIO[PtwCacheReq], out: DecoupledIO[PtwCacheReq], InFire: Bool): Unit = {
6102c86e165SZhangZifei    in.ready := !in.valid || out.ready
6112c86e165SZhangZifei    out.valid := in.valid
6122c86e165SZhangZifei    out.bits := in.bits
613*1f4a7c0cSLemover    out.bits.bypassed.zip(in.bits.bypassed).zipWithIndex.map{ case (b, i) =>
614*1f4a7c0cSLemover      b._1 := b._2 || DataHoldBypass(refill_bypass(in.bits.req_info.vpn, i), OneCycleValid(InFire, false.B) || io.refill.valid)
615*1f4a7c0cSLemover    }
6162c86e165SZhangZifei  }
6172c86e165SZhangZifei
6186d5ddbceSLemover  // Perf Count
6196c4dcc2dSLemover  val resp_l3 = resp_res.l3.hit
6206c4dcc2dSLemover  val resp_sp = resp_res.sp.hit
6216c4dcc2dSLemover  val resp_l1_pre = resp_res.l1.pre
6226c4dcc2dSLemover  val resp_l2_pre = resp_res.l2.pre
6236c4dcc2dSLemover  val resp_l3_pre = resp_res.l3.pre
6246c4dcc2dSLemover  val resp_sp_pre = resp_res.sp.pre
62545f497a4Shappy-lx  val base_valid_access_0 = !from_pre(io.resp.bits.req_info.source) && io.resp.fire()
626bc063562SLemover  XSPerfAccumulate("access", base_valid_access_0)
627bc063562SLemover  XSPerfAccumulate("l1_hit", base_valid_access_0 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
628bc063562SLemover  XSPerfAccumulate("l2_hit", base_valid_access_0 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
629bc063562SLemover  XSPerfAccumulate("l3_hit", base_valid_access_0 && resp_l3)
630bc063562SLemover  XSPerfAccumulate("sp_hit", base_valid_access_0 && resp_sp)
631bc063562SLemover  XSPerfAccumulate("pte_hit",base_valid_access_0 && io.resp.bits.hit)
632bc063562SLemover
633bc063562SLemover  XSPerfAccumulate("l1_hit_pre", base_valid_access_0 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
634bc063562SLemover  XSPerfAccumulate("l2_hit_pre", base_valid_access_0 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
635bc063562SLemover  XSPerfAccumulate("l3_hit_pre", base_valid_access_0 && resp_l3_pre && resp_l3)
636bc063562SLemover  XSPerfAccumulate("sp_hit_pre", base_valid_access_0 && resp_sp_pre && resp_sp)
637bc063562SLemover  XSPerfAccumulate("pte_hit_pre",base_valid_access_0 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
638bc063562SLemover
63945f497a4Shappy-lx  val base_valid_access_1 = from_pre(io.resp.bits.req_info.source) && io.resp.fire()
640bc063562SLemover  XSPerfAccumulate("pre_access", base_valid_access_1)
641bc063562SLemover  XSPerfAccumulate("pre_l1_hit", base_valid_access_1 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
642bc063562SLemover  XSPerfAccumulate("pre_l2_hit", base_valid_access_1 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
643bc063562SLemover  XSPerfAccumulate("pre_l3_hit", base_valid_access_1 && resp_l3)
644bc063562SLemover  XSPerfAccumulate("pre_sp_hit", base_valid_access_1 && resp_sp)
645bc063562SLemover  XSPerfAccumulate("pre_pte_hit",base_valid_access_1 && io.resp.bits.hit)
646bc063562SLemover
647bc063562SLemover  XSPerfAccumulate("pre_l1_hit_pre", base_valid_access_1 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
648bc063562SLemover  XSPerfAccumulate("pre_l2_hit_pre", base_valid_access_1 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
649bc063562SLemover  XSPerfAccumulate("pre_l3_hit_pre", base_valid_access_1 && resp_l3_pre && resp_l3)
650bc063562SLemover  XSPerfAccumulate("pre_sp_hit_pre", base_valid_access_1 && resp_sp_pre && resp_sp)
651bc063562SLemover  XSPerfAccumulate("pre_pte_hit_pre",base_valid_access_1 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
652bc063562SLemover
6536c4dcc2dSLemover  val base_valid_access_2 = stageResp.bits.isFirst && !from_pre(io.resp.bits.req_info.source) && io.resp.fire()
654bc063562SLemover  XSPerfAccumulate("access_first", base_valid_access_2)
655bc063562SLemover  XSPerfAccumulate("l1_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
656bc063562SLemover  XSPerfAccumulate("l2_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
657bc063562SLemover  XSPerfAccumulate("l3_hit_first", base_valid_access_2 && resp_l3)
658bc063562SLemover  XSPerfAccumulate("sp_hit_first", base_valid_access_2 && resp_sp)
659bc063562SLemover  XSPerfAccumulate("pte_hit_first",base_valid_access_2 && io.resp.bits.hit)
660bc063562SLemover
661bc063562SLemover  XSPerfAccumulate("l1_hit_pre_first", base_valid_access_2 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
662bc063562SLemover  XSPerfAccumulate("l2_hit_pre_first", base_valid_access_2 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
663bc063562SLemover  XSPerfAccumulate("l3_hit_pre_first", base_valid_access_2 && resp_l3_pre && resp_l3)
664bc063562SLemover  XSPerfAccumulate("sp_hit_pre_first", base_valid_access_2 && resp_sp_pre && resp_sp)
665bc063562SLemover  XSPerfAccumulate("pte_hit_pre_first",base_valid_access_2 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
666bc063562SLemover
6676c4dcc2dSLemover  val base_valid_access_3 = stageResp.bits.isFirst && from_pre(io.resp.bits.req_info.source) && io.resp.fire()
668bc063562SLemover  XSPerfAccumulate("pre_access_first", base_valid_access_3)
669bc063562SLemover  XSPerfAccumulate("pre_l1_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
670bc063562SLemover  XSPerfAccumulate("pre_l2_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
671bc063562SLemover  XSPerfAccumulate("pre_l3_hit_first", base_valid_access_3 && resp_l3)
672bc063562SLemover  XSPerfAccumulate("pre_sp_hit_first", base_valid_access_3 && resp_sp)
673bc063562SLemover  XSPerfAccumulate("pre_pte_hit_first", base_valid_access_3 && io.resp.bits.hit)
674bc063562SLemover
675bc063562SLemover  XSPerfAccumulate("pre_l1_hit_pre_first", base_valid_access_3 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
676bc063562SLemover  XSPerfAccumulate("pre_l2_hit_pre_first", base_valid_access_3 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
677bc063562SLemover  XSPerfAccumulate("pre_l3_hit_pre_first", base_valid_access_3 && resp_l3_pre && resp_l3)
678bc063562SLemover  XSPerfAccumulate("pre_sp_hit_pre_first", base_valid_access_3 && resp_sp_pre && resp_sp)
679bc063562SLemover  XSPerfAccumulate("pre_pte_hit_pre_first",base_valid_access_3 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
680bc063562SLemover
6816d5ddbceSLemover  XSPerfAccumulate("rwHarzad", io.req.valid && !io.req.ready)
6826d5ddbceSLemover  XSPerfAccumulate("out_blocked", io.resp.valid && !io.resp.ready)
6836d5ddbceSLemover  l1AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L1AccessIndex${i}", l) }
6846d5ddbceSLemover  l2AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L2AccessIndex${i}", l) }
6856d5ddbceSLemover  l3AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L3AccessIndex${i}", l) }
6866d5ddbceSLemover  spAccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPAccessIndex${i}", l) }
6876d5ddbceSLemover  l1RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L1RefillIndex${i}", l) }
6886d5ddbceSLemover  l2RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L2RefillIndex${i}", l) }
6896d5ddbceSLemover  l3RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L3RefillIndex${i}", l) }
6906d5ddbceSLemover  spRefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPRefillIndex${i}", l) }
6916d5ddbceSLemover
692bc063562SLemover  XSPerfAccumulate("l1Refill", Cat(l1RefillPerf).orR)
693bc063562SLemover  XSPerfAccumulate("l2Refill", Cat(l2RefillPerf).orR)
694bc063562SLemover  XSPerfAccumulate("l3Refill", Cat(l3RefillPerf).orR)
695bc063562SLemover  XSPerfAccumulate("spRefill", Cat(spRefillPerf).orR)
69645f497a4Shappy-lx  XSPerfAccumulate("l1Refill_pre", Cat(l1RefillPerf).orR && refill_prefetch)
69745f497a4Shappy-lx  XSPerfAccumulate("l2Refill_pre", Cat(l2RefillPerf).orR && refill_prefetch)
69845f497a4Shappy-lx  XSPerfAccumulate("l3Refill_pre", Cat(l3RefillPerf).orR && refill_prefetch)
69945f497a4Shappy-lx  XSPerfAccumulate("spRefill_pre", Cat(spRefillPerf).orR && refill_prefetch)
700bc063562SLemover
7016d5ddbceSLemover  // debug
7026d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] original v and g vector:\n")
7036d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l1v:${Binary(l1v)}\n")
7046d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l2v:${Binary(l2v)}\n")
7056d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l3v:${Binary(l3v)}\n")
7066d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l3g:${Binary(l3g)}\n")
7076d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] spv:${Binary(spv)}\n")
7086d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] new v and g vector:\n")
7096d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l1v:${Binary(l1v)}\n")
7106d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l2v:${Binary(l2v)}\n")
7116d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l3v:${Binary(l3v)}\n")
7126d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l3g:${Binary(l3g)}\n")
7136d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] spv:${Binary(spv)}\n")
714cd365d4cSrvcoresjw
715cd365d4cSrvcoresjw  val perfEvents = Seq(
71656be8e20SYinan Xu    ("access           ", base_valid_access_0             ),
717cd365d4cSrvcoresjw    ("l1_hit           ", l1Hit                           ),
718cd365d4cSrvcoresjw    ("l2_hit           ", l2Hit                           ),
719cd365d4cSrvcoresjw    ("l3_hit           ", l3Hit                           ),
720cd365d4cSrvcoresjw    ("sp_hit           ", spHit                           ),
721cd365d4cSrvcoresjw    ("pte_hit          ", l3Hit || spHit                  ),
722cd365d4cSrvcoresjw    ("rwHarzad         ",  io.req.valid && !io.req.ready  ),
723cd365d4cSrvcoresjw    ("out_blocked      ",  io.resp.valid && !io.resp.ready),
724cd365d4cSrvcoresjw  )
7251ca0e4f3SYinan Xu  generatePerfEvent()
7266d5ddbceSLemover}
727