xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/PageTableCache.scala (revision 1af89150c55ebf7b79c9cd65e86a73736aee89ea)
16d5ddbceSLemover/***************************************************************************************
26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
46d5ddbceSLemover*
56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2.
66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at:
86d5ddbceSLemover*          http://license.coscl.org.cn/MulanPSL2
96d5ddbceSLemover*
106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
136d5ddbceSLemover*
146d5ddbceSLemover* See the Mulan PSL v2 for more details.
156d5ddbceSLemover***************************************************************************************/
166d5ddbceSLemover
176d5ddbceSLemoverpackage xiangshan.cache.mmu
186d5ddbceSLemover
196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters
206d5ddbceSLemoverimport chisel3._
216d5ddbceSLemoverimport chisel3.util._
22b848eea5SLemoverimport chisel3.internal.naming.chiselName
236d5ddbceSLemoverimport xiangshan._
246d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
256d5ddbceSLemoverimport utils._
266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
276d5ddbceSLemoverimport freechips.rocketchip.tilelink._
286d5ddbceSLemover
296d5ddbceSLemover/* ptw cache caches the page table of all the three layers
306d5ddbceSLemover * ptw cache resp at next cycle
316d5ddbceSLemover * the cache should not be blocked
326d5ddbceSLemover * when miss queue if full, just block req outside
336d5ddbceSLemover */
346d5ddbceSLemoverclass PtwCacheIO()(implicit p: Parameters) extends PtwBundle {
356d5ddbceSLemover  val req = Flipped(DecoupledIO(new Bundle {
366d5ddbceSLemover    val vpn = UInt(vpnLen.W)
37bc063562SLemover    val source = UInt(bSourceWidth.W)
386d5ddbceSLemover  }))
39bc063562SLemover  val req_isFirst = Input(Bool()) // only for perf counter
406d5ddbceSLemover  val resp = DecoupledIO(new Bundle {
41bc063562SLemover    val source = UInt(bSourceWidth.W)
426d5ddbceSLemover    val vpn = UInt(vpnLen.W)
436d5ddbceSLemover    val hit = Bool()
44bc063562SLemover    val prefetch = Bool() // is the entry fetched by prefetch
456d5ddbceSLemover    val toFsm = new Bundle {
466d5ddbceSLemover      val l1Hit = Bool()
476d5ddbceSLemover      val l2Hit = Bool()
486d5ddbceSLemover      val ppn = UInt(ppnLen.W)
496d5ddbceSLemover    }
506d5ddbceSLemover    val toTlb = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true)
516d5ddbceSLemover  })
526d5ddbceSLemover  val refill = Flipped(ValidIO(new Bundle {
535854c1edSLemover    val ptes = UInt(blockBits.W)
546d5ddbceSLemover    val vpn = UInt(vpnLen.W)
556d5ddbceSLemover    val level = UInt(log2Up(Level).W)
56bc063562SLemover    val prefetch = Bool() // is the req a prefetch req
57b848eea5SLemover    val addr_low = UInt((log2Up(l2tlbParams.blockBytes) - log2Up(XLEN/8)).W)
586d5ddbceSLemover  }))
596d5ddbceSLemover  val sfence = Input(new SfenceBundle)
606d5ddbceSLemover}
616d5ddbceSLemover
62b848eea5SLemover
63b848eea5SLemover@chiselName
646d5ddbceSLemoverclass PtwCache()(implicit p: Parameters) extends XSModule with HasPtwConst {
656d5ddbceSLemover  val io = IO(new PtwCacheIO)
666d5ddbceSLemover
677196f5a2SLemover  val ecc = Code.fromString(l2tlbParams.ecc)
687196f5a2SLemover  val l2EntryType = new PTWEntriesWithEcc(ecc, num = PtwL2SectorSize, tagLen = PtwL2TagLen, level = 1, hasPerm = false)
697196f5a2SLemover  val l3EntryType = new PTWEntriesWithEcc(ecc, num = PtwL3SectorSize, tagLen = PtwL3TagLen, level = 2, hasPerm = true)
707196f5a2SLemover
716d5ddbceSLemover  // TODO: four caches make the codes dirty, think about how to deal with it
726d5ddbceSLemover
736d5ddbceSLemover  val sfence = io.sfence
746d5ddbceSLemover  val refill = io.refill.bits
756d5ddbceSLemover
766d5ddbceSLemover  val first_valid = io.req.valid
776d5ddbceSLemover  val first_fire = first_valid && io.req.ready
786d5ddbceSLemover  val first_req = io.req.bits
796d5ddbceSLemover  val second_ready = Wire(Bool())
80bc063562SLemover  val second_valid = ValidHold(first_fire && !sfence.valid, io.resp.fire(), sfence.valid)
816d5ddbceSLemover  val second_req = RegEnable(first_req, first_fire)
826d5ddbceSLemover  // NOTE: if ptw cache resp may be blocked, hard to handle refill
836d5ddbceSLemover  // when miss queue is full, please to block itlb and dtlb input
84bc063562SLemover  val second_isFirst = RegEnable(io.req_isFirst, first_fire) // only for perf counter
856d5ddbceSLemover
866d5ddbceSLemover  // when refill, refuce to accept new req
875854c1edSLemover  val rwHarzad = if (sramSinglePort) io.refill.valid else false.B
88bc063562SLemover  io.req.ready := !rwHarzad && second_ready
896d5ddbceSLemover  // NOTE: when write, don't ready, whe
906d5ddbceSLemover  //       when replay, just come in, out make sure resp.fire()
916d5ddbceSLemover
926d5ddbceSLemover  // l1: level 0 non-leaf pte
935854c1edSLemover  val l1 = Reg(Vec(l2tlbParams.l1Size, new PtwEntry(tagLen = PtwL1TagLen)))
945854c1edSLemover  val l1v = RegInit(0.U(l2tlbParams.l1Size.W))
955854c1edSLemover  val l1g = Reg(UInt(l2tlbParams.l1Size.W))
966d5ddbceSLemover
976d5ddbceSLemover  // l2: level 1 non-leaf pte
986d5ddbceSLemover  val l2 = Module(new SRAMTemplate(
997196f5a2SLemover    l2EntryType,
1005854c1edSLemover    set = l2tlbParams.l2nSets,
1015854c1edSLemover    way = l2tlbParams.l2nWays,
1025854c1edSLemover    singlePort = sramSinglePort
1036d5ddbceSLemover  ))
1045854c1edSLemover  val l2v = RegInit(0.U((l2tlbParams.l2nSets * l2tlbParams.l2nWays).W))
1055854c1edSLemover  val l2g = Reg(UInt((l2tlbParams.l2nSets * l2tlbParams.l2nWays).W))
1066d5ddbceSLemover  def getl2vSet(vpn: UInt) = {
1075854c1edSLemover    require(log2Up(l2tlbParams.l2nWays) == log2Down(l2tlbParams.l2nWays))
1086d5ddbceSLemover    val set = genPtwL2SetIdx(vpn)
1095854c1edSLemover    require(set.getWidth == log2Up(l2tlbParams.l2nSets))
1105854c1edSLemover    val l2vVec = l2v.asTypeOf(Vec(l2tlbParams.l2nSets, UInt(l2tlbParams.l2nWays.W)))
1116d5ddbceSLemover    l2vVec(set)
1126d5ddbceSLemover  }
1136d5ddbceSLemover
1146d5ddbceSLemover  // l3: level 2 leaf pte of 4KB pages
1156d5ddbceSLemover  val l3 = Module(new SRAMTemplate(
1167196f5a2SLemover    l3EntryType,
1175854c1edSLemover    set = l2tlbParams.l3nSets,
1185854c1edSLemover    way = l2tlbParams.l3nWays,
1195854c1edSLemover    singlePort = sramSinglePort
1206d5ddbceSLemover  ))
1215854c1edSLemover  val l3v = RegInit(0.U((l2tlbParams.l3nSets * l2tlbParams.l3nWays).W))
1225854c1edSLemover  val l3g = Reg(UInt((l2tlbParams.l3nSets * l2tlbParams.l3nWays).W))
1236d5ddbceSLemover  def getl3vSet(vpn: UInt) = {
1245854c1edSLemover    require(log2Up(l2tlbParams.l3nWays) == log2Down(l2tlbParams.l3nWays))
1256d5ddbceSLemover    val set = genPtwL3SetIdx(vpn)
1265854c1edSLemover    require(set.getWidth == log2Up(l2tlbParams.l3nSets))
1275854c1edSLemover    val l3vVec = l3v.asTypeOf(Vec(l2tlbParams.l3nSets, UInt(l2tlbParams.l3nWays.W)))
1286d5ddbceSLemover    l3vVec(set)
1296d5ddbceSLemover  }
1306d5ddbceSLemover
1316d5ddbceSLemover  // sp: level 0/1 leaf pte of 1GB/2MB super pages
1325854c1edSLemover  val sp = Reg(Vec(l2tlbParams.spSize, new PtwEntry(tagLen = SPTagLen, hasPerm = true, hasLevel = true)))
1335854c1edSLemover  val spv = RegInit(0.U(l2tlbParams.spSize.W))
1345854c1edSLemover  val spg = Reg(UInt(l2tlbParams.spSize.W))
1356d5ddbceSLemover
1366d5ddbceSLemover  // Access Perf
1375854c1edSLemover  val l1AccessPerf = Wire(Vec(l2tlbParams.l1Size, Bool()))
1385854c1edSLemover  val l2AccessPerf = Wire(Vec(l2tlbParams.l2nWays, Bool()))
1395854c1edSLemover  val l3AccessPerf = Wire(Vec(l2tlbParams.l3nWays, Bool()))
1405854c1edSLemover  val spAccessPerf = Wire(Vec(l2tlbParams.spSize, Bool()))
1416d5ddbceSLemover  l1AccessPerf.map(_ := false.B)
1426d5ddbceSLemover  l2AccessPerf.map(_ := false.B)
1436d5ddbceSLemover  l3AccessPerf.map(_ := false.B)
1446d5ddbceSLemover  spAccessPerf.map(_ := false.B)
1456d5ddbceSLemover
146*1af89150SLemover  val cache_read_valid = OneCycleValid(first_fire, sfence.valid)
1476d5ddbceSLemover  // l1
1485854c1edSLemover  val ptwl1replace = ReplacementPolicy.fromString(l2tlbParams.l1Replacer, l2tlbParams.l1Size)
149bc063562SLemover  val (l1Hit, l1HitPPN, l1Pre) = {
1506d5ddbceSLemover    val hitVecT = l1.zipWithIndex.map { case (e, i) => e.hit(first_req.vpn) && l1v(i) }
1516d5ddbceSLemover    val hitVec = hitVecT.map(RegEnable(_, first_fire))
1526d5ddbceSLemover    val hitPPN = ParallelPriorityMux(hitVec zip l1.map(_.ppn))
153bc063562SLemover    val hitPre = ParallelPriorityMux(hitVec zip l1.map(_.prefetch))
154*1af89150SLemover    val hit = ParallelOR(hitVec) && cache_read_valid
1556d5ddbceSLemover
1566d5ddbceSLemover    when (hit) { ptwl1replace.access(OHToUInt(hitVec)) }
1576d5ddbceSLemover
1586d5ddbceSLemover    l1AccessPerf.zip(hitVec).map{ case (l, h) => l := h && RegNext(first_fire)}
1595854c1edSLemover    for (i <- 0 until l2tlbParams.l1Size) {
1606d5ddbceSLemover      XSDebug(first_fire, p"[l1] l1(${i.U}) ${l1(i)} hit:${l1(i).hit(first_req.vpn)}\n")
1616d5ddbceSLemover    }
1626d5ddbceSLemover    XSDebug(first_fire, p"[l1] l1v:${Binary(l1v)} hitVecT:${Binary(VecInit(hitVecT).asUInt)}\n")
1636d5ddbceSLemover    XSDebug(second_valid, p"[l1] l1Hit:${hit} l1HitPPN:0x${Hexadecimal(hitPPN)} hitVec:${VecInit(hitVec).asUInt}\n")
1646d5ddbceSLemover
1656d5ddbceSLemover    VecInit(hitVecT).suggestName(s"l1_hitVecT")
1666d5ddbceSLemover    VecInit(hitVec).suggestName(s"l1_hitVec")
1676d5ddbceSLemover
168bc063562SLemover    (hit, hitPPN, hitPre)
1696d5ddbceSLemover  }
1706d5ddbceSLemover
1716d5ddbceSLemover  // l2
1725854c1edSLemover  val ptwl2replace = ReplacementPolicy.fromString(l2tlbParams.l2Replacer,l2tlbParams.l2nWays,l2tlbParams.l2nSets)
173bc063562SLemover  val (l2Hit, l2HitPPN, l2Pre, l2eccError) = {
1746d5ddbceSLemover    val ridx = genPtwL2SetIdx(first_req.vpn)
1756d5ddbceSLemover    val vidx = RegEnable(VecInit(getl2vSet(first_req.vpn).asBools), first_fire)
1766d5ddbceSLemover    l2.io.r.req.valid := first_fire
1776d5ddbceSLemover    l2.io.r.req.bits.apply(setIdx = ridx)
1786d5ddbceSLemover    val ramDatas = l2.io.r.resp.data
1796d5ddbceSLemover    // val hitVec = VecInit(ramDatas.map{wayData => wayData.hit(first_req.vpn) })
1807196f5a2SLemover    val hitVec = VecInit(ramDatas.zip(vidx).map { case (wayData, v) => wayData.entries.hit(second_req.vpn) && v })
1817196f5a2SLemover    val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas)
1827196f5a2SLemover    val hitWayData = hitWayEntry.entries
1837196f5a2SLemover    val hitWayEcc = hitWayEntry.ecc
184*1af89150SLemover    val hit = ParallelOR(hitVec) && cache_read_valid && RegNext(l2.io.r.req.ready, init = false.B)
1855854c1edSLemover    val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l2nWays).map(_.U))
1866d5ddbceSLemover
1877196f5a2SLemover    val eccError = ecc.decode(Cat(hitWayEcc, hitWayData.asUInt())).error
1887196f5a2SLemover
1896d5ddbceSLemover    ridx.suggestName(s"l2_ridx")
1906d5ddbceSLemover    vidx.suggestName(s"l2_vidx")
1916d5ddbceSLemover    ramDatas.suggestName(s"l2_ramDatas")
1926d5ddbceSLemover    hitVec.suggestName(s"l2_hitVec")
1936d5ddbceSLemover    hitWayData.suggestName(s"l2_hitWayData")
1946d5ddbceSLemover    hitWay.suggestName(s"l2_hitWay")
1956d5ddbceSLemover
1966d5ddbceSLemover    when (hit) { ptwl2replace.access(genPtwL2SetIdx(second_req.vpn), hitWay) }
1976d5ddbceSLemover
1986d5ddbceSLemover    l2AccessPerf.zip(hitVec).map{ case (l, h) => l := h && RegNext(first_fire) }
1996d5ddbceSLemover    XSDebug(first_fire, p"[l2] ridx:0x${Hexadecimal(ridx)}\n")
2005854c1edSLemover    for (i <- 0 until l2tlbParams.l2nWays) {
2017196f5a2SLemover      XSDebug(RegNext(first_fire), p"[l2] ramDatas(${i.U}) ${ramDatas(i)}  l2v:${vidx(i)}  hit:${ramDatas(i).entries.hit(second_req.vpn)}\n")
2026d5ddbceSLemover    }
2036d5ddbceSLemover    XSDebug(second_valid, p"[l2] l2Hit:${hit} l2HitPPN:0x${Hexadecimal(hitWayData.ppns(genPtwL2SectorIdx(second_req.vpn)))} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} vidx:${Binary(vidx.asUInt)}\n")
2046d5ddbceSLemover
205bc063562SLemover    (hit && !eccError, hitWayData.ppns(genPtwL2SectorIdx(second_req.vpn)), hitWayData.prefetch, hit && eccError)
2066d5ddbceSLemover  }
2076d5ddbceSLemover
2086d5ddbceSLemover  // l3
2095854c1edSLemover  val ptwl3replace = ReplacementPolicy.fromString(l2tlbParams.l3Replacer,l2tlbParams.l3nWays,l2tlbParams.l3nSets)
210bc063562SLemover  val (l3Hit, l3HitData, l3Pre, l3eccError) = {
2116d5ddbceSLemover    val ridx = genPtwL3SetIdx(first_req.vpn)
2126d5ddbceSLemover    val vidx = RegEnable(VecInit(getl3vSet(first_req.vpn).asBools), first_fire)
2136d5ddbceSLemover    l3.io.r.req.valid := first_fire
2146d5ddbceSLemover    l3.io.r.req.bits.apply(setIdx = ridx)
2156d5ddbceSLemover    val ramDatas = l3.io.r.resp.data
2167196f5a2SLemover    val hitVec = VecInit(ramDatas.zip(vidx).map{ case (wayData, v) => wayData.entries.hit(second_req.vpn) && v })
2177196f5a2SLemover    val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas)
2187196f5a2SLemover    val hitWayData = hitWayEntry.entries
2197196f5a2SLemover    val hitWayEcc = hitWayEntry.ecc
220*1af89150SLemover    val hit = ParallelOR(hitVec) && cache_read_valid && RegNext(l3.io.r.req.ready, init = false.B)
2215854c1edSLemover    val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l3nWays).map(_.U))
2226d5ddbceSLemover
2237196f5a2SLemover    val eccError = ecc.decode(Cat(hitWayEcc, hitWayData.asUInt())).error
2247196f5a2SLemover
2256d5ddbceSLemover    when (hit) { ptwl3replace.access(genPtwL3SetIdx(second_req.vpn), hitWay) }
2266d5ddbceSLemover
2276d5ddbceSLemover    l3AccessPerf.zip(hitVec).map{ case (l, h) => l := h && RegNext(first_fire) }
2286d5ddbceSLemover    XSDebug(first_fire, p"[l3] ridx:0x${Hexadecimal(ridx)}\n")
2295854c1edSLemover    for (i <- 0 until l2tlbParams.l3nWays) {
2307196f5a2SLemover      XSDebug(RegNext(first_fire), p"[l3] ramDatas(${i.U}) ${ramDatas(i)}  l3v:${vidx(i)}  hit:${ramDatas(i).entries.hit(second_req.vpn)}\n")
2316d5ddbceSLemover    }
2326d5ddbceSLemover    XSDebug(second_valid, p"[l3] l3Hit:${hit} l3HitData:${hitWayData} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} vidx:${Binary(vidx.asUInt)}\n")
2336d5ddbceSLemover
2346d5ddbceSLemover    ridx.suggestName(s"l3_ridx")
2356d5ddbceSLemover    vidx.suggestName(s"l3_vidx")
2366d5ddbceSLemover    ramDatas.suggestName(s"l3_ramDatas")
2376d5ddbceSLemover    hitVec.suggestName(s"l3_hitVec")
2386d5ddbceSLemover    hitWay.suggestName(s"l3_hitWay")
2396d5ddbceSLemover
240bc063562SLemover    (hit && !eccError, hitWayData, hitWayData.prefetch, hit && eccError)
2416d5ddbceSLemover  }
2426d5ddbceSLemover  val l3HitPPN = l3HitData.ppns(genPtwL3SectorIdx(second_req.vpn))
2436d5ddbceSLemover  val l3HitPerm = l3HitData.perms.getOrElse(0.U.asTypeOf(Vec(PtwL3SectorSize, new PtePermBundle)))(genPtwL3SectorIdx(second_req.vpn))
2446d5ddbceSLemover
2456d5ddbceSLemover  // super page
2465854c1edSLemover  val spreplace = ReplacementPolicy.fromString(l2tlbParams.spReplacer, l2tlbParams.spSize)
247bc063562SLemover  val (spHit, spHitData, spPre) = {
2486d5ddbceSLemover    val hitVecT = sp.zipWithIndex.map { case (e, i) => e.hit(first_req.vpn) && spv(i) }
2496d5ddbceSLemover    val hitVec = hitVecT.map(RegEnable(_, first_fire))
2506d5ddbceSLemover    val hitData = ParallelPriorityMux(hitVec zip sp)
251*1af89150SLemover    val hit = ParallelOR(hitVec) && cache_read_valid
2526d5ddbceSLemover
2536d5ddbceSLemover    when (hit) { spreplace.access(OHToUInt(hitVec)) }
2546d5ddbceSLemover
2556d5ddbceSLemover    spAccessPerf.zip(hitVec).map{ case (s, h) => s := h && RegNext(first_fire) }
2565854c1edSLemover    for (i <- 0 until l2tlbParams.spSize) {
2576d5ddbceSLemover      XSDebug(first_fire, p"[sp] sp(${i.U}) ${sp(i)} hit:${sp(i).hit(first_req.vpn)} spv:${spv(i)}\n")
2586d5ddbceSLemover    }
2596d5ddbceSLemover    XSDebug(second_valid, p"[sp] spHit:${hit} spHitData:${hitData} hitVec:${Binary(VecInit(hitVec).asUInt)}\n")
2606d5ddbceSLemover
2616d5ddbceSLemover    VecInit(hitVecT).suggestName(s"sp_hitVecT")
2626d5ddbceSLemover    VecInit(hitVec).suggestName(s"sp_hitVec")
2636d5ddbceSLemover
264bc063562SLemover    (hit, hitData, hitData.prefetch)
2656d5ddbceSLemover  }
2666d5ddbceSLemover  val spHitPerm = spHitData.perm.getOrElse(0.U.asTypeOf(new PtePermBundle))
2676d5ddbceSLemover  val spHitLevel = spHitData.level.getOrElse(0.U)
2686d5ddbceSLemover
2696d5ddbceSLemover  val resp = Wire(io.resp.bits.cloneType)
2706d5ddbceSLemover  val resp_latch = RegEnable(resp, io.resp.valid && !io.resp.ready)
271bc063562SLemover  val resp_latch_valid = ValidHold(io.resp.valid && !io.resp.ready, io.resp.fire(), sfence.valid)
272bc063562SLemover  second_ready := !second_valid || io.resp.fire()
2736d5ddbceSLemover  resp.source   := second_req.source
2746d5ddbceSLemover  resp.vpn      := second_req.vpn
2756d5ddbceSLemover  resp.hit      := l3Hit || spHit
276bc063562SLemover  resp.prefetch := l3Pre && l3Hit || spPre && spHit
2776d5ddbceSLemover  resp.toFsm.l1Hit := l1Hit
2786d5ddbceSLemover  resp.toFsm.l2Hit := l2Hit
2796d5ddbceSLemover  resp.toFsm.ppn   := Mux(l2Hit, l2HitPPN, l1HitPPN)
2806d5ddbceSLemover  resp.toTlb.tag   := second_req.vpn
2816d5ddbceSLemover  resp.toTlb.ppn   := Mux(l3Hit, l3HitPPN, spHitData.ppn)
2826d5ddbceSLemover  resp.toTlb.perm.map(_ := Mux(l3Hit, l3HitPerm, spHitPerm))
2836d5ddbceSLemover  resp.toTlb.level.map(_ := Mux(l3Hit, 2.U, spHitLevel))
284bc063562SLemover  resp.toTlb.prefetch := from_pre(second_req.source)
2856d5ddbceSLemover
2866d5ddbceSLemover  io.resp.valid := second_valid
2876d5ddbceSLemover  io.resp.bits := Mux(resp_latch_valid, resp_latch, resp)
2886d5ddbceSLemover  assert(!(l3Hit && spHit), "normal page and super page both hit")
2896d5ddbceSLemover
2906d5ddbceSLemover  // refill Perf
2915854c1edSLemover  val l1RefillPerf = Wire(Vec(l2tlbParams.l1Size, Bool()))
2925854c1edSLemover  val l2RefillPerf = Wire(Vec(l2tlbParams.l2nWays, Bool()))
2935854c1edSLemover  val l3RefillPerf = Wire(Vec(l2tlbParams.l3nWays, Bool()))
2945854c1edSLemover  val spRefillPerf = Wire(Vec(l2tlbParams.spSize, Bool()))
2956d5ddbceSLemover  l1RefillPerf.map(_ := false.B)
2966d5ddbceSLemover  l2RefillPerf.map(_ := false.B)
2976d5ddbceSLemover  l3RefillPerf.map(_ := false.B)
2986d5ddbceSLemover  spRefillPerf.map(_ := false.B)
2996d5ddbceSLemover
3006d5ddbceSLemover  // refill
3016d5ddbceSLemover  l2.io.w.req <> DontCare
3026d5ddbceSLemover  l3.io.w.req <> DontCare
3036d5ddbceSLemover  l2.io.w.req.valid := false.B
3046d5ddbceSLemover  l3.io.w.req.valid := false.B
3056d5ddbceSLemover
3065854c1edSLemover  def get_part(data: UInt, index: UInt): UInt = {
3075854c1edSLemover    val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W)))
3085854c1edSLemover    inner_data(index)
3095854c1edSLemover  }
3105854c1edSLemover
3116d5ddbceSLemover  val memRdata = refill.ptes
312b848eea5SLemover  val memSelData = get_part(memRdata, refill.addr_low)
3135854c1edSLemover  val memPtes = (0 until (l2tlbParams.blockBytes/(XLEN/8))).map(i => memRdata((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle))
3146d5ddbceSLemover  val memPte = memSelData.asTypeOf(new PteBundle)
3156d5ddbceSLemover
316b848eea5SLemover  memPte.suggestName("memPte")
317b848eea5SLemover
3186d5ddbceSLemover  // TODO: handle sfenceLatch outsize
319b848eea5SLemover  when (io.refill.valid && !memPte.isPf(refill.level) && !sfence.valid ) {
3206d5ddbceSLemover    when (refill.level === 0.U && !memPte.isLeaf()) {
3215854c1edSLemover      // val refillIdx = LFSR64()(log2Up(l2tlbParams.l1Size)-1,0) // TODO: may be LRU
3226d5ddbceSLemover      val refillIdx = replaceWrapper(l1v, ptwl1replace.way)
3236d5ddbceSLemover      refillIdx.suggestName(s"PtwL1RefillIdx")
3246d5ddbceSLemover      val rfOH = UIntToOH(refillIdx)
325bc063562SLemover      l1(refillIdx).refill(refill.vpn, memSelData, 0.U, refill.prefetch)
3266d5ddbceSLemover      ptwl1replace.access(refillIdx)
3276d5ddbceSLemover      l1v := l1v | rfOH
3286d5ddbceSLemover      l1g := (l1g & ~rfOH) | Mux(memPte.perm.g, rfOH, 0.U)
3296d5ddbceSLemover
3305854c1edSLemover      for (i <- 0 until l2tlbParams.l1Size) {
3316d5ddbceSLemover        l1RefillPerf(i) := i.U === refillIdx
3326d5ddbceSLemover      }
3336d5ddbceSLemover
334bc063562SLemover      XSDebug(p"[l1 refill] refillIdx:${refillIdx} refillEntry:${l1(refillIdx).genPtwEntry(refill.vpn, memSelData, 0.U, refill.prefetch)}\n")
3356d5ddbceSLemover      XSDebug(p"[l1 refill] l1v:${Binary(l1v)}->${Binary(l1v | rfOH)} l1g:${Binary(l1g)}->${Binary((l1g & ~rfOH) | Mux(memPte.perm.g, rfOH, 0.U))}\n")
3366d5ddbceSLemover
3376d5ddbceSLemover      refillIdx.suggestName(s"l1_refillIdx")
3386d5ddbceSLemover      rfOH.suggestName(s"l1_rfOH")
3396d5ddbceSLemover    }
3406d5ddbceSLemover
3416d5ddbceSLemover    when (refill.level === 1.U && !memPte.isLeaf()) {
3426d5ddbceSLemover      val refillIdx = genPtwL2SetIdx(refill.vpn)
3436d5ddbceSLemover      val victimWay = replaceWrapper(RegEnable(VecInit(getl2vSet(refill.vpn).asBools).asUInt, first_fire), ptwl2replace.way(refillIdx))
3446d5ddbceSLemover      val victimWayOH = UIntToOH(victimWay)
3456d5ddbceSLemover      val rfvOH = UIntToOH(Cat(refillIdx, victimWay))
3467196f5a2SLemover      val wdata = Wire(l2EntryType)
347bc063562SLemover      wdata.entries := wdata.entries.genEntries(vpn = refill.vpn, data = memRdata, levelUInt = 1.U, refill.prefetch)
3487196f5a2SLemover      wdata.ecc := ecc.encode(wdata.entries.asUInt()) >> wdata.entries.getWidth
3496d5ddbceSLemover      l2.io.w.apply(
3506d5ddbceSLemover        valid = true.B,
3516d5ddbceSLemover        setIdx = refillIdx,
3527196f5a2SLemover        data = wdata,
3536d5ddbceSLemover        waymask = victimWayOH
3546d5ddbceSLemover      )
3556d5ddbceSLemover      ptwl2replace.access(refillIdx, victimWay)
3566d5ddbceSLemover      l2v := l2v | rfvOH
3576d5ddbceSLemover      l2g := l2g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U)
3586d5ddbceSLemover
3595854c1edSLemover      for (i <- 0 until l2tlbParams.l2nWays) {
3606d5ddbceSLemover        l2RefillPerf(i) := i.U === victimWay
3616d5ddbceSLemover      }
3626d5ddbceSLemover
3636d5ddbceSLemover      XSDebug(p"[l2 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n")
3646d5ddbceSLemover      XSDebug(p"[l2 refill] refilldata:0x${
3656d5ddbceSLemover        (new PtwEntries(num = PtwL2SectorSize, tagLen = PtwL2TagLen, level = 1, hasPerm = false)).genEntries(
366bc063562SLemover          vpn = refill.vpn, data = memRdata, levelUInt = 1.U, refill.prefetch)
3676d5ddbceSLemover      }\n")
3686d5ddbceSLemover      XSDebug(p"[l2 refill] l2v:${Binary(l2v)} -> ${Binary(l2v | rfvOH)}\n")
3696d5ddbceSLemover      XSDebug(p"[l2 refill] l2g:${Binary(l2g)} -> ${Binary(l2g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n")
3706d5ddbceSLemover
3716d5ddbceSLemover      refillIdx.suggestName(s"l2_refillIdx")
3726d5ddbceSLemover      victimWay.suggestName(s"l2_victimWay")
3736d5ddbceSLemover      victimWayOH.suggestName(s"l2_victimWayOH")
3746d5ddbceSLemover      rfvOH.suggestName(s"l2_rfvOH")
3756d5ddbceSLemover    }
3766d5ddbceSLemover
3776d5ddbceSLemover    when (refill.level === 2.U && memPte.isLeaf()) {
3786d5ddbceSLemover      val refillIdx = genPtwL3SetIdx(refill.vpn)
3796d5ddbceSLemover      val victimWay = replaceWrapper(RegEnable(VecInit(getl3vSet(refill.vpn).asBools).asUInt, first_fire), ptwl3replace.way(refillIdx))
3806d5ddbceSLemover      val victimWayOH = UIntToOH(victimWay)
3816d5ddbceSLemover      val rfvOH = UIntToOH(Cat(refillIdx, victimWay))
3827196f5a2SLemover      val wdata = Wire(l3EntryType)
383bc063562SLemover      wdata.entries := wdata.entries.genEntries(vpn = refill.vpn, data = memRdata, levelUInt = 2.U, refill.prefetch)
3847196f5a2SLemover      wdata.ecc := ecc.encode(wdata.entries.asUInt()) >> wdata.entries.getWidth
3856d5ddbceSLemover      l3.io.w.apply(
3866d5ddbceSLemover        valid = true.B,
3876d5ddbceSLemover        setIdx = refillIdx,
3887196f5a2SLemover        data = wdata,
3896d5ddbceSLemover        waymask = victimWayOH
3906d5ddbceSLemover      )
3916d5ddbceSLemover      ptwl3replace.access(refillIdx, victimWay)
3926d5ddbceSLemover      l3v := l3v | rfvOH
3936d5ddbceSLemover      l3g := l3g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U)
3946d5ddbceSLemover
3955854c1edSLemover        for (i <- 0 until l2tlbParams.l3nWays) {
3966d5ddbceSLemover          l3RefillPerf(i) := i.U === victimWay
3976d5ddbceSLemover        }
3986d5ddbceSLemover
3996d5ddbceSLemover      XSDebug(p"[l3 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n")
4006d5ddbceSLemover      XSDebug(p"[l3 refill] refilldata:0x${
4016d5ddbceSLemover        (new PtwEntries(num = PtwL3SectorSize, tagLen = PtwL3TagLen, level = 2, hasPerm = true)).genEntries(
402bc063562SLemover          vpn = refill.vpn, data = memRdata, levelUInt = 2.U, refill.prefetch)
4036d5ddbceSLemover      }\n")
4046d5ddbceSLemover      XSDebug(p"[l3 refill] l3v:${Binary(l3v)} -> ${Binary(l3v | rfvOH)}\n")
4056d5ddbceSLemover      XSDebug(p"[l3 refill] l3g:${Binary(l3g)} -> ${Binary(l3g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n")
4066d5ddbceSLemover
4076d5ddbceSLemover      refillIdx.suggestName(s"l3_refillIdx")
4086d5ddbceSLemover      victimWay.suggestName(s"l3_victimWay")
4096d5ddbceSLemover      victimWayOH.suggestName(s"l3_victimWayOH")
4106d5ddbceSLemover      rfvOH.suggestName(s"l3_rfvOH")
4116d5ddbceSLemover    }
4126d5ddbceSLemover    when ((refill.level === 0.U || refill.level === 1.U) && memPte.isLeaf()) {
4135854c1edSLemover      val refillIdx = spreplace.way// LFSR64()(log2Up(l2tlbParams.spSize)-1,0) // TODO: may be LRU
4146d5ddbceSLemover      val rfOH = UIntToOH(refillIdx)
415bc063562SLemover      sp(refillIdx).refill(refill.vpn, memSelData, refill.level, refill.prefetch)
4166d5ddbceSLemover      spreplace.access(refillIdx)
4176d5ddbceSLemover      spv := spv | rfOH
4186d5ddbceSLemover      spg := spg & ~rfOH | Mux(memPte.perm.g, rfOH, 0.U)
4196d5ddbceSLemover
4205854c1edSLemover      for (i <- 0 until l2tlbParams.spSize) {
4216d5ddbceSLemover        spRefillPerf(i) := i.U === refillIdx
4226d5ddbceSLemover      }
4236d5ddbceSLemover
424bc063562SLemover      XSDebug(p"[sp refill] refillIdx:${refillIdx} refillEntry:${sp(refillIdx).genPtwEntry(refill.vpn, memSelData, refill.level, refill.prefetch)}\n")
4256d5ddbceSLemover      XSDebug(p"[sp refill] spv:${Binary(spv)}->${Binary(spv | rfOH)} spg:${Binary(spg)}->${Binary(spg & ~rfOH | Mux(memPte.perm.g, rfOH, 0.U))}\n")
4266d5ddbceSLemover
4276d5ddbceSLemover      refillIdx.suggestName(s"sp_refillIdx")
4286d5ddbceSLemover      rfOH.suggestName(s"sp_rfOH")
4296d5ddbceSLemover    }
4306d5ddbceSLemover  }
4316d5ddbceSLemover
4327196f5a2SLemover  val l2eccFlush = RegNext(l2eccError, init = false.B)
4337196f5a2SLemover  val l3eccFlush = RegNext(l3eccError, init = false.B)
4347196f5a2SLemover  val eccVpn = RegNext(second_req.vpn)
4357196f5a2SLemover
4367196f5a2SLemover  assert(!l2eccFlush)
4377196f5a2SLemover  assert(!l3eccFlush)
4387196f5a2SLemover  when (l2eccFlush) {
4397196f5a2SLemover    val flushSetIdxOH = UIntToOH(genPtwL2SetIdx(eccVpn))
4407196f5a2SLemover    val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l2nWays, a.asUInt) }).asUInt
4417196f5a2SLemover    l2v := l2v & ~flushMask
4427196f5a2SLemover    l2g := l2g & ~flushMask
4437196f5a2SLemover  }
4447196f5a2SLemover
4457196f5a2SLemover  when (l3eccFlush) {
4467196f5a2SLemover    val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(eccVpn))
4477196f5a2SLemover    val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt
4487196f5a2SLemover    l3v := l3v & ~flushMask
4497196f5a2SLemover    l3g := l3g & ~flushMask
4507196f5a2SLemover  }
4517196f5a2SLemover
4526d5ddbceSLemover  // sfence
4536d5ddbceSLemover  when (sfence.valid) {
4546d5ddbceSLemover    when (sfence.bits.rs1/*va*/) {
4556d5ddbceSLemover      when (sfence.bits.rs2) {
4566d5ddbceSLemover        // all va && all asid
4576d5ddbceSLemover        l1v := 0.U
4586d5ddbceSLemover        l2v := 0.U
4596d5ddbceSLemover        l3v := 0.U
4606d5ddbceSLemover        spv := 0.U
4616d5ddbceSLemover      } .otherwise {
4626d5ddbceSLemover        // all va && specific asid except global
4636d5ddbceSLemover        l1v := l1v & l1g
4646d5ddbceSLemover        l2v := l2v & l2g
4656d5ddbceSLemover        l3v := l3v & l3g
4666d5ddbceSLemover        spv := spv & spg
4676d5ddbceSLemover      }
4686d5ddbceSLemover    } .otherwise {
4696d5ddbceSLemover      // val flushMask = UIntToOH(genTlbL2Idx(sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen)))
4706d5ddbceSLemover      val flushSetIdxOH = UIntToOH(genPtwL3SetIdx(sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen)))
4715854c1edSLemover      // val flushMask = VecInit(flushSetIdxOH.asBools.map(Fill(l2tlbParams.l3nWays, _.asUInt))).asUInt
4725854c1edSLemover      val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l3nWays, a.asUInt) }).asUInt
4736d5ddbceSLemover      flushSetIdxOH.suggestName(s"sfence_nrs1_flushSetIdxOH")
4746d5ddbceSLemover      flushMask.suggestName(s"sfence_nrs1_flushMask")
4756d5ddbceSLemover      when (sfence.bits.rs2) {
4766d5ddbceSLemover        // specific leaf of addr && all asid
4776d5ddbceSLemover        l3v := l3v & ~flushMask
4786d5ddbceSLemover        l3g := l3g & ~flushMask
4796d5ddbceSLemover      } .otherwise {
4806d5ddbceSLemover        // specific leaf of addr && specific asid
4816d5ddbceSLemover        l3v := l3v & (~flushMask | l3g)
4826d5ddbceSLemover      }
4836d5ddbceSLemover      spv := 0.U
4846d5ddbceSLemover    }
4856d5ddbceSLemover  }
4866d5ddbceSLemover
4876d5ddbceSLemover  // Perf Count
488bc063562SLemover  val resp_l3 = DataHoldBypass(l3Hit, io.resp.valid && !resp_latch_valid).asBool()
489bc063562SLemover  val resp_sp = DataHoldBypass(spHit, io.resp.valid && !resp_latch_valid).asBool()
490bc063562SLemover  val resp_l1_pre = DataHoldBypass(l1Pre, io.resp.valid && !resp_latch_valid).asBool()
491bc063562SLemover  val resp_l2_pre = DataHoldBypass(l2Pre, io.resp.valid && !resp_latch_valid).asBool()
492bc063562SLemover  val resp_l3_pre = DataHoldBypass(l3Pre, io.resp.valid && !resp_latch_valid).asBool()
493bc063562SLemover  val resp_sp_pre = DataHoldBypass(spPre, io.resp.valid && !resp_latch_valid).asBool()
494bc063562SLemover  val base_valid_access_0 = !from_pre(io.resp.bits.source) && io.resp.fire()
495bc063562SLemover  XSPerfAccumulate("access", base_valid_access_0)
496bc063562SLemover  XSPerfAccumulate("l1_hit", base_valid_access_0 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
497bc063562SLemover  XSPerfAccumulate("l2_hit", base_valid_access_0 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
498bc063562SLemover  XSPerfAccumulate("l3_hit", base_valid_access_0 && resp_l3)
499bc063562SLemover  XSPerfAccumulate("sp_hit", base_valid_access_0 && resp_sp)
500bc063562SLemover  XSPerfAccumulate("pte_hit",base_valid_access_0 && io.resp.bits.hit)
501bc063562SLemover
502bc063562SLemover  XSPerfAccumulate("l1_hit_pre", base_valid_access_0 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
503bc063562SLemover  XSPerfAccumulate("l2_hit_pre", base_valid_access_0 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
504bc063562SLemover  XSPerfAccumulate("l3_hit_pre", base_valid_access_0 && resp_l3_pre && resp_l3)
505bc063562SLemover  XSPerfAccumulate("sp_hit_pre", base_valid_access_0 && resp_sp_pre && resp_sp)
506bc063562SLemover  XSPerfAccumulate("pte_hit_pre",base_valid_access_0 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
507bc063562SLemover
508bc063562SLemover  val base_valid_access_1 = from_pre(io.resp.bits.source) && io.resp.fire()
509bc063562SLemover  XSPerfAccumulate("pre_access", base_valid_access_1)
510bc063562SLemover  XSPerfAccumulate("pre_l1_hit", base_valid_access_1 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
511bc063562SLemover  XSPerfAccumulate("pre_l2_hit", base_valid_access_1 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
512bc063562SLemover  XSPerfAccumulate("pre_l3_hit", base_valid_access_1 && resp_l3)
513bc063562SLemover  XSPerfAccumulate("pre_sp_hit", base_valid_access_1 && resp_sp)
514bc063562SLemover  XSPerfAccumulate("pre_pte_hit",base_valid_access_1 && io.resp.bits.hit)
515bc063562SLemover
516bc063562SLemover  XSPerfAccumulate("pre_l1_hit_pre", base_valid_access_1 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
517bc063562SLemover  XSPerfAccumulate("pre_l2_hit_pre", base_valid_access_1 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
518bc063562SLemover  XSPerfAccumulate("pre_l3_hit_pre", base_valid_access_1 && resp_l3_pre && resp_l3)
519bc063562SLemover  XSPerfAccumulate("pre_sp_hit_pre", base_valid_access_1 && resp_sp_pre && resp_sp)
520bc063562SLemover  XSPerfAccumulate("pre_pte_hit_pre",base_valid_access_1 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
521bc063562SLemover
522bc063562SLemover  val base_valid_access_2 = second_isFirst && !from_pre(io.resp.bits.source) && io.resp.fire()
523bc063562SLemover  XSPerfAccumulate("access_first", base_valid_access_2)
524bc063562SLemover  XSPerfAccumulate("l1_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
525bc063562SLemover  XSPerfAccumulate("l2_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
526bc063562SLemover  XSPerfAccumulate("l3_hit_first", base_valid_access_2 && resp_l3)
527bc063562SLemover  XSPerfAccumulate("sp_hit_first", base_valid_access_2 && resp_sp)
528bc063562SLemover  XSPerfAccumulate("pte_hit_first",base_valid_access_2 && io.resp.bits.hit)
529bc063562SLemover
530bc063562SLemover  XSPerfAccumulate("l1_hit_pre_first", base_valid_access_2 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
531bc063562SLemover  XSPerfAccumulate("l2_hit_pre_first", base_valid_access_2 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
532bc063562SLemover  XSPerfAccumulate("l3_hit_pre_first", base_valid_access_2 && resp_l3_pre && resp_l3)
533bc063562SLemover  XSPerfAccumulate("sp_hit_pre_first", base_valid_access_2 && resp_sp_pre && resp_sp)
534bc063562SLemover  XSPerfAccumulate("pte_hit_pre_first",base_valid_access_2 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
535bc063562SLemover
536bc063562SLemover  val base_valid_access_3 = second_isFirst && from_pre(io.resp.bits.source) && io.resp.fire()
537bc063562SLemover  XSPerfAccumulate("pre_access_first", base_valid_access_3)
538bc063562SLemover  XSPerfAccumulate("pre_l1_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
539bc063562SLemover  XSPerfAccumulate("pre_l2_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
540bc063562SLemover  XSPerfAccumulate("pre_l3_hit_first", base_valid_access_3 && resp_l3)
541bc063562SLemover  XSPerfAccumulate("pre_sp_hit_first", base_valid_access_3 && resp_sp)
542bc063562SLemover  XSPerfAccumulate("pre_pte_hit_first", base_valid_access_3 && io.resp.bits.hit)
543bc063562SLemover
544bc063562SLemover  XSPerfAccumulate("pre_l1_hit_pre_first", base_valid_access_3 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
545bc063562SLemover  XSPerfAccumulate("pre_l2_hit_pre_first", base_valid_access_3 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.hit)
546bc063562SLemover  XSPerfAccumulate("pre_l3_hit_pre_first", base_valid_access_3 && resp_l3_pre && resp_l3)
547bc063562SLemover  XSPerfAccumulate("pre_sp_hit_pre_first", base_valid_access_3 && resp_sp_pre && resp_sp)
548bc063562SLemover  XSPerfAccumulate("pre_pte_hit_pre_first",base_valid_access_3 && (resp_l3_pre && resp_l3 || resp_sp_pre && resp_sp) && io.resp.bits.hit)
549bc063562SLemover
5506d5ddbceSLemover  XSPerfAccumulate("rwHarzad", io.req.valid && !io.req.ready)
5516d5ddbceSLemover  XSPerfAccumulate("out_blocked", io.resp.valid && !io.resp.ready)
5526d5ddbceSLemover  l1AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L1AccessIndex${i}", l) }
5536d5ddbceSLemover  l2AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L2AccessIndex${i}", l) }
5546d5ddbceSLemover  l3AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L3AccessIndex${i}", l) }
5556d5ddbceSLemover  spAccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPAccessIndex${i}", l) }
5566d5ddbceSLemover  l1RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L1RefillIndex${i}", l) }
5576d5ddbceSLemover  l2RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L2RefillIndex${i}", l) }
5586d5ddbceSLemover  l3RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"L3RefillIndex${i}", l) }
5596d5ddbceSLemover  spRefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPRefillIndex${i}", l) }
5606d5ddbceSLemover
561bc063562SLemover  XSPerfAccumulate("l1Refill", Cat(l1RefillPerf).orR)
562bc063562SLemover  XSPerfAccumulate("l2Refill", Cat(l2RefillPerf).orR)
563bc063562SLemover  XSPerfAccumulate("l3Refill", Cat(l3RefillPerf).orR)
564bc063562SLemover  XSPerfAccumulate("spRefill", Cat(spRefillPerf).orR)
565bc063562SLemover  XSPerfAccumulate("l1Refill_pre", Cat(l1RefillPerf).orR && refill.prefetch)
566bc063562SLemover  XSPerfAccumulate("l2Refill_pre", Cat(l2RefillPerf).orR && refill.prefetch)
567bc063562SLemover  XSPerfAccumulate("l3Refill_pre", Cat(l3RefillPerf).orR && refill.prefetch)
568bc063562SLemover  XSPerfAccumulate("spRefill_pre", Cat(spRefillPerf).orR && refill.prefetch)
569bc063562SLemover
5706d5ddbceSLemover  // debug
5716d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] original v and g vector:\n")
5726d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l1v:${Binary(l1v)}\n")
5736d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l2v:${Binary(l2v)}\n")
5746d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l3v:${Binary(l3v)}\n")
5756d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] l3g:${Binary(l3g)}\n")
5766d5ddbceSLemover  XSDebug(sfence.valid, p"[sfence] spv:${Binary(spv)}\n")
5776d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] new v and g vector:\n")
5786d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l1v:${Binary(l1v)}\n")
5796d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l2v:${Binary(l2v)}\n")
5806d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l3v:${Binary(l3v)}\n")
5816d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] l3g:${Binary(l3g)}\n")
5826d5ddbceSLemover  XSDebug(RegNext(sfence.valid), p"[sfence] spv:${Binary(spv)}\n")
5836d5ddbceSLemover}
584