16d5ddbceSLemover/*************************************************************************************** 2e3da8badSTang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC) 3e3da8badSTang Haojin* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences 4f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 56d5ddbceSLemover* 66d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2. 76d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 86d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at: 96d5ddbceSLemover* http://license.coscl.org.cn/MulanPSL2 106d5ddbceSLemover* 116d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 126d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 136d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 146d5ddbceSLemover* 156d5ddbceSLemover* See the Mulan PSL v2 for more details. 166d5ddbceSLemover***************************************************************************************/ 176d5ddbceSLemover 186d5ddbceSLemoverpackage xiangshan.cache.mmu 196d5ddbceSLemover 208891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 216d5ddbceSLemoverimport chisel3._ 226d5ddbceSLemoverimport chisel3.util._ 236d5ddbceSLemoverimport xiangshan._ 246d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 256d5ddbceSLemoverimport utils._ 263c02ee8fSwakafaimport utility._ 276d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 286d5ddbceSLemoverimport freechips.rocketchip.tilelink._ 296d5ddbceSLemover 306d5ddbceSLemover/* ptw cache caches the page table of all the three layers 316d5ddbceSLemover * ptw cache resp at next cycle 326d5ddbceSLemover * the cache should not be blocked 336d5ddbceSLemover * when miss queue if full, just block req outside 346d5ddbceSLemover */ 353889e11eSLemover 363889e11eSLemoverclass PageCachePerPespBundle(implicit p: Parameters) extends PtwBundle { 373889e11eSLemover val hit = Bool() 383889e11eSLemover val pre = Bool() 394c0e0181SXiaokun-Pei val ppn = UInt(gvpnLen.W) 40002c10a4SYanqin Li val pbmt = UInt(ptePbmtLen.W) 413889e11eSLemover val perm = new PtePermBundle() 423889e11eSLemover val ecc = Bool() 433889e11eSLemover val level = UInt(2.W) 448d8ac704SLemover val v = Bool() 453889e11eSLemover 46002c10a4SYanqin Li def apply(hit: Bool, pre: Bool, ppn: UInt, pbmt: UInt = 0.U, 47002c10a4SYanqin Li perm: PtePermBundle = 0.U.asTypeOf(new PtePermBundle()), 48e3da8badSTang Haojin ecc: Bool = false.B, level: UInt = 0.U, valid: Bool = true.B): Unit = { 493889e11eSLemover this.hit := hit && !ecc 503889e11eSLemover this.pre := pre 513889e11eSLemover this.ppn := ppn 52002c10a4SYanqin Li this.pbmt := pbmt 533889e11eSLemover this.perm := perm 543889e11eSLemover this.ecc := ecc && hit 553889e11eSLemover this.level := level 568d8ac704SLemover this.v := valid 573889e11eSLemover } 583889e11eSLemover} 593889e11eSLemover 6063632028SHaoyuan Fengclass PageCacheMergePespBundle(implicit p: Parameters) extends PtwBundle { 6163632028SHaoyuan Feng assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 6263632028SHaoyuan Feng val hit = Bool() 6363632028SHaoyuan Feng val pre = Bool() 644c0e0181SXiaokun-Pei val ppn = Vec(tlbcontiguous, UInt(gvpnLen.W)) 65002c10a4SYanqin Li val pbmt = Vec(tlbcontiguous, UInt(ptePbmtLen.W)) 6663632028SHaoyuan Feng val perm = Vec(tlbcontiguous, new PtePermBundle()) 6763632028SHaoyuan Feng val ecc = Bool() 6863632028SHaoyuan Feng val level = UInt(2.W) 6963632028SHaoyuan Feng val v = Vec(tlbcontiguous, Bool()) 704ed5afbdSXiaokun-Pei val af = Vec(tlbcontiguous, Bool()) 7163632028SHaoyuan Feng 72002c10a4SYanqin Li def apply(hit: Bool, pre: Bool, ppn: Vec[UInt], pbmt: Vec[UInt] = Vec(tlbcontiguous, 0.U), 73002c10a4SYanqin Li perm: Vec[PtePermBundle] = Vec(tlbcontiguous, 0.U.asTypeOf(new PtePermBundle())), 74002c10a4SYanqin Li ecc: Bool = false.B, level: UInt = 0.U, valid: Vec[Bool] = Vec(tlbcontiguous, true.B), 75002c10a4SYanqin Li accessFault: Vec[Bool] = Vec(tlbcontiguous, true.B)): Unit = { 7663632028SHaoyuan Feng this.hit := hit && !ecc 7763632028SHaoyuan Feng this.pre := pre 7863632028SHaoyuan Feng this.ppn := ppn 79002c10a4SYanqin Li this.pbmt := pbmt 8063632028SHaoyuan Feng this.perm := perm 8163632028SHaoyuan Feng this.ecc := ecc && hit 8263632028SHaoyuan Feng this.level := level 8363632028SHaoyuan Feng this.v := valid 844ed5afbdSXiaokun-Pei this.af := accessFault 8563632028SHaoyuan Feng } 8663632028SHaoyuan Feng} 8763632028SHaoyuan Feng 883889e11eSLemoverclass PageCacheRespBundle(implicit p: Parameters) extends PtwBundle { 893ea4388cSHaoyuan Feng val l3 = if (EnableSv48) Some(new PageCachePerPespBundle) else None 903889e11eSLemover val l2 = new PageCachePerPespBundle 913ea4388cSHaoyuan Feng val l1 = new PageCachePerPespBundle 923ea4388cSHaoyuan Feng val l0 = new PageCacheMergePespBundle 933889e11eSLemover val sp = new PageCachePerPespBundle 943889e11eSLemover} 953889e11eSLemover 963889e11eSLemoverclass PtwCacheReq(implicit p: Parameters) extends PtwBundle { 973889e11eSLemover val req_info = new L2TlbInnerBundle() 983889e11eSLemover val isFirst = Bool() 993ea4388cSHaoyuan Feng val bypassed = if (EnableSv48) Vec(4, Bool()) else Vec(3, Bool()) 100325f0a4eSpeixiaokun val isHptwReq = Bool() 101d0de7e4aSpeixiaokun val hptwId = UInt(log2Up(l2tlbParams.llptwsize).W) 1023889e11eSLemover} 1033889e11eSLemover 1043889e11eSLemoverclass PtwCacheIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst { 1053889e11eSLemover val req = Flipped(DecoupledIO(new PtwCacheReq())) 1066d5ddbceSLemover val resp = DecoupledIO(new Bundle { 10745f497a4Shappy-lx val req_info = new L2TlbInnerBundle() 10894133605SLemover val isFirst = Bool() 1096d5ddbceSLemover val hit = Bool() 110bc063562SLemover val prefetch = Bool() // is the entry fetched by prefetch 1111f4a7c0cSLemover val bypassed = Bool() 1126d5ddbceSLemover val toFsm = new Bundle { 1133ea4388cSHaoyuan Feng val l3Hit = if (EnableSv48) Some(Bool()) else None 1146d5ddbceSLemover val l2Hit = Bool() 1153ea4388cSHaoyuan Feng val l1Hit = Bool() 1164c0e0181SXiaokun-Pei val ppn = UInt(gvpnLen.W) 11730104977Speixiaokun val stage1Hit = Bool() // find stage 1 pte in cache, but need to search stage 2 pte in cache at PTW 1186d5ddbceSLemover } 1196979864eSXiaokun-Pei val stage1 = new PtwMergeResp() 120325f0a4eSpeixiaokun val isHptwReq = Bool() 121d0de7e4aSpeixiaokun val toHptw = new Bundle { 1223ea4388cSHaoyuan Feng val l3Hit = if (EnableSv48) Some(Bool()) else None 123d0de7e4aSpeixiaokun val l2Hit = Bool() 1243ea4388cSHaoyuan Feng val l1Hit = Bool() 125d0de7e4aSpeixiaokun val ppn = UInt(ppnLen.W) 126d0de7e4aSpeixiaokun val id = UInt(log2Up(l2tlbParams.llptwsize).W) 127d0de7e4aSpeixiaokun val resp = new HptwResp() // used if hit 12883d93d53Speixiaokun val bypassed = Bool() 129d0de7e4aSpeixiaokun } 1306d5ddbceSLemover }) 1316d5ddbceSLemover val refill = Flipped(ValidIO(new Bundle { 1325854c1edSLemover val ptes = UInt(blockBits.W) 1337797f035SbugGenerator val levelOH = new Bundle { 1347797f035SbugGenerator // NOTE: levelOH has (Level+1) bits, each stands for page cache entries 1357797f035SbugGenerator val sp = Bool() 1363ea4388cSHaoyuan Feng val l0 = Bool() 1377797f035SbugGenerator val l1 = Bool() 1383ea4388cSHaoyuan Feng val l2 = Bool() 1393ea4388cSHaoyuan Feng val l3 = if (EnableSv48) Some(Bool()) else None 1407797f035SbugGenerator def apply(levelUInt: UInt, valid: Bool) = { 1413ea4388cSHaoyuan Feng sp := GatedValidRegNext((levelUInt === 1.U || levelUInt === 2.U || levelUInt === 3.U) && valid, false.B) 1423ea4388cSHaoyuan Feng l0 := GatedValidRegNext((levelUInt === 0.U) & valid, false.B) 1433ea4388cSHaoyuan Feng l1 := GatedValidRegNext((levelUInt === 1.U) & valid, false.B) 1443ea4388cSHaoyuan Feng l2 := GatedValidRegNext((levelUInt === 2.U) & valid, false.B) 1453ea4388cSHaoyuan Feng l3.map(_ := GatedValidRegNext((levelUInt === 3.U) & valid, false.B)) 1467797f035SbugGenerator } 1477797f035SbugGenerator } 1487797f035SbugGenerator // duplicate level and sel_pte for each page caches, for better fanout 1497797f035SbugGenerator val req_info_dup = Vec(3, new L2TlbInnerBundle()) 1503ea4388cSHaoyuan Feng val level_dup = Vec(3, UInt(log2Up(Level + 1).W)) 1517797f035SbugGenerator val sel_pte_dup = Vec(3, UInt(XLEN.W)) 1526d5ddbceSLemover })) 1537797f035SbugGenerator val sfence_dup = Vec(4, Input(new SfenceBundle())) 1547797f035SbugGenerator val csr_dup = Vec(3, Input(new TlbCsrBundle())) 1556d5ddbceSLemover} 1566d5ddbceSLemover 1571ca0e4f3SYinan Xuclass PtwCache()(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents { 1586d5ddbceSLemover val io = IO(new PtwCacheIO) 1597196f5a2SLemover val ecc = Code.fromString(l2tlbParams.ecc) 1603ea4388cSHaoyuan Feng val l1EntryType = new PTWEntriesWithEcc(ecc, num = PtwL1SectorSize, tagLen = PtwL1TagLen, level = 1, hasPerm = false) 1613ea4388cSHaoyuan Feng val l0EntryType = new PTWEntriesWithEcc(ecc, num = PtwL0SectorSize, tagLen = PtwL0TagLen, level = 0, hasPerm = true) 1627196f5a2SLemover 1636d5ddbceSLemover // TODO: four caches make the codes dirty, think about how to deal with it 1646d5ddbceSLemover 1657797f035SbugGenerator val sfence_dup = io.sfence_dup 1666d5ddbceSLemover val refill = io.refill.bits 1677797f035SbugGenerator val refill_prefetch_dup = io.refill.bits.req_info_dup.map(a => from_pre(a.source)) 1684ed5afbdSXiaokun-Pei val refill_h = io.refill.bits.req_info_dup.map(a => Mux(a.s2xlate === allStage, onlyStage1, a.s2xlate)) 169d0de7e4aSpeixiaokun val flush_dup = sfence_dup.zip(io.csr_dup).map(f => f._1.valid || f._2.satp.changed || f._2.vsatp.changed || f._2.hgatp.changed) 1707797f035SbugGenerator val flush = flush_dup(0) 1716d5ddbceSLemover 1726d5ddbceSLemover // when refill, refuce to accept new req 1735854c1edSLemover val rwHarzad = if (sramSinglePort) io.refill.valid else false.B 1743889e11eSLemover 1753889e11eSLemover // handle hand signal and req_info 1766c4dcc2dSLemover // TODO: replace with FlushableQueue 1776c4dcc2dSLemover val stageReq = Wire(Decoupled(new PtwCacheReq())) // enq stage & read page cache valid 1786c4dcc2dSLemover val stageDelay = Wire(Vec(2, Decoupled(new PtwCacheReq()))) // page cache resp 1796c4dcc2dSLemover val stageCheck = Wire(Vec(2, Decoupled(new PtwCacheReq()))) // check hit & check ecc 1806c4dcc2dSLemover val stageResp = Wire(Decoupled(new PtwCacheReq())) // deq stage 1817797f035SbugGenerator 1827797f035SbugGenerator val stageDelay_valid_1cycle = OneCycleValid(stageReq.fire, flush) // catch ram data 1837797f035SbugGenerator val stageCheck_valid_1cycle = OneCycleValid(stageDelay(1).fire, flush) // replace & perf counter 1847797f035SbugGenerator val stageResp_valid_1cycle_dup = Wire(Vec(2, Bool())) 1857797f035SbugGenerator stageResp_valid_1cycle_dup.map(_ := OneCycleValid(stageCheck(1).fire, flush)) // ecc flush 1867797f035SbugGenerator 1876c4dcc2dSLemover stageReq <> io.req 1886c4dcc2dSLemover PipelineConnect(stageReq, stageDelay(0), stageDelay(1).ready, flush, rwHarzad) 1897797f035SbugGenerator InsideStageConnect(stageDelay(0), stageDelay(1), stageDelay_valid_1cycle) 1906c4dcc2dSLemover PipelineConnect(stageDelay(1), stageCheck(0), stageCheck(1).ready, flush) 1917797f035SbugGenerator InsideStageConnect(stageCheck(0), stageCheck(1), stageCheck_valid_1cycle) 1926c4dcc2dSLemover PipelineConnect(stageCheck(1), stageResp, io.resp.ready, flush) 1936c4dcc2dSLemover stageResp.ready := !stageResp.valid || io.resp.ready 1946d5ddbceSLemover 1953ea4388cSHaoyuan Feng // l3: level 3 non-leaf pte 1963ea4388cSHaoyuan Feng val l3 = if (EnableSv48) Some(Reg(Vec(l2tlbParams.l3Size, new PtwEntry(tagLen = PtwL3TagLen)))) else None 1973ea4388cSHaoyuan Feng val l3v = if (EnableSv48) Some(RegInit(0.U(l2tlbParams.l3Size.W))) else None 1983ea4388cSHaoyuan Feng val l3g = if (EnableSv48) Some(Reg(UInt(l2tlbParams.l3Size.W))) else None 1993ea4388cSHaoyuan Feng val l3asids = if (EnableSv48) Some(l3.get.map(_.asid)) else None 2003ea4388cSHaoyuan Feng val l3vmids = if (EnableSv48) Some(l3.get.map(_.vmid)) else None 2013ea4388cSHaoyuan Feng val l3h = if (EnableSv48) Some(Reg(Vec(l2tlbParams.l3Size, UInt(2.W)))) else None 2026d5ddbceSLemover 2033ea4388cSHaoyuan Feng // l2: level 2 non-leaf pte 2043ea4388cSHaoyuan Feng val l2 = Reg(Vec(l2tlbParams.l2Size, new PtwEntry(tagLen = PtwL2TagLen))) 2053ea4388cSHaoyuan Feng val l2v = RegInit(0.U(l2tlbParams.l2Size.W)) 2063ea4388cSHaoyuan Feng val l2g = Reg(UInt(l2tlbParams.l2Size.W)) 2073ea4388cSHaoyuan Feng val l2asids = l2.map(_.asid) 2083ea4388cSHaoyuan Feng val l2vmids = l2.map(_.vmid) 2093ea4388cSHaoyuan Feng val l2h = Reg(Vec(l2tlbParams.l2Size, UInt(2.W))) 2103ea4388cSHaoyuan Feng 2113ea4388cSHaoyuan Feng // l1: level 1 non-leaf pte 2123ea4388cSHaoyuan Feng val l1 = Module(new SRAMTemplate( 2133ea4388cSHaoyuan Feng l1EntryType, 2143ea4388cSHaoyuan Feng set = l2tlbParams.l1nSets, 2153ea4388cSHaoyuan Feng way = l2tlbParams.l1nWays, 2165854c1edSLemover singlePort = sramSinglePort 2176d5ddbceSLemover )) 2183ea4388cSHaoyuan Feng val l1v = RegInit(0.U((l2tlbParams.l1nSets * l2tlbParams.l1nWays).W)) 2193ea4388cSHaoyuan Feng val l1g = Reg(UInt((l2tlbParams.l1nSets * l2tlbParams.l1nWays).W)) 2203ea4388cSHaoyuan Feng val l1h = Reg(Vec(l2tlbParams.l1nSets, Vec(l2tlbParams.l1nWays, UInt(2.W)))) 2213ea4388cSHaoyuan Feng def getl1vSet(vpn: UInt) = { 2223ea4388cSHaoyuan Feng require(log2Up(l2tlbParams.l1nWays) == log2Down(l2tlbParams.l1nWays)) 2233ea4388cSHaoyuan Feng val set = genPtwL1SetIdx(vpn) 2243ea4388cSHaoyuan Feng require(set.getWidth == log2Up(l2tlbParams.l1nSets)) 2253ea4388cSHaoyuan Feng val l1vVec = l1v.asTypeOf(Vec(l2tlbParams.l1nSets, UInt(l2tlbParams.l1nWays.W))) 2263ea4388cSHaoyuan Feng l1vVec(set) 2276d5ddbceSLemover } 2283ea4388cSHaoyuan Feng def getl1hSet(vpn: UInt) = { 2293ea4388cSHaoyuan Feng require(log2Up(l2tlbParams.l1nWays) == log2Down(l2tlbParams.l1nWays)) 2303ea4388cSHaoyuan Feng val set = genPtwL1SetIdx(vpn) 2313ea4388cSHaoyuan Feng require(set.getWidth == log2Up(l2tlbParams.l1nSets)) 2323ea4388cSHaoyuan Feng l1h(set) 23345f497a4Shappy-lx } 2346d5ddbceSLemover 2353ea4388cSHaoyuan Feng // l0: level 0 leaf pte of 4KB pages 2363ea4388cSHaoyuan Feng val l0 = Module(new SRAMTemplate( 2373ea4388cSHaoyuan Feng l0EntryType, 2383ea4388cSHaoyuan Feng set = l2tlbParams.l0nSets, 2393ea4388cSHaoyuan Feng way = l2tlbParams.l0nWays, 2405854c1edSLemover singlePort = sramSinglePort 2416d5ddbceSLemover )) 2423ea4388cSHaoyuan Feng val l0v = RegInit(0.U((l2tlbParams.l0nSets * l2tlbParams.l0nWays).W)) 2433ea4388cSHaoyuan Feng val l0g = Reg(UInt((l2tlbParams.l0nSets * l2tlbParams.l0nWays).W)) 2443ea4388cSHaoyuan Feng val l0h = Reg(Vec(l2tlbParams.l0nSets, Vec(l2tlbParams.l0nWays, UInt(2.W)))) 2453ea4388cSHaoyuan Feng def getl0vSet(vpn: UInt) = { 2463ea4388cSHaoyuan Feng require(log2Up(l2tlbParams.l0nWays) == log2Down(l2tlbParams.l0nWays)) 2473ea4388cSHaoyuan Feng val set = genPtwL0SetIdx(vpn) 2483ea4388cSHaoyuan Feng require(set.getWidth == log2Up(l2tlbParams.l0nSets)) 2493ea4388cSHaoyuan Feng val l0vVec = l0v.asTypeOf(Vec(l2tlbParams.l0nSets, UInt(l2tlbParams.l0nWays.W))) 2503ea4388cSHaoyuan Feng l0vVec(set) 2516d5ddbceSLemover } 2523ea4388cSHaoyuan Feng def getl0hSet(vpn: UInt) = { 2533ea4388cSHaoyuan Feng require(log2Up(l2tlbParams.l0nWays) == log2Down(l2tlbParams.l0nWays)) 2543ea4388cSHaoyuan Feng val set = genPtwL0SetIdx(vpn) 2553ea4388cSHaoyuan Feng require(set.getWidth == log2Up(l2tlbParams.l0nSets)) 2563ea4388cSHaoyuan Feng l0h(set) 25745f497a4Shappy-lx } 2586d5ddbceSLemover 2593ea4388cSHaoyuan Feng // sp: level 1/2/3 leaf pte of 512GB/1GB/2MB super pages 2605854c1edSLemover val sp = Reg(Vec(l2tlbParams.spSize, new PtwEntry(tagLen = SPTagLen, hasPerm = true, hasLevel = true))) 2615854c1edSLemover val spv = RegInit(0.U(l2tlbParams.spSize.W)) 2625854c1edSLemover val spg = Reg(UInt(l2tlbParams.spSize.W)) 2631dd3e32dSHaoyuan Feng val spasids = sp.map(_.asid) 264d0de7e4aSpeixiaokun val spvmids = sp.map(_.vmid) 265d61cd5eeSpeixiaokun val sph = Reg(Vec(l2tlbParams.spSize, UInt(2.W))) 2666d5ddbceSLemover 2676d5ddbceSLemover // Access Perf 2683ea4388cSHaoyuan Feng val l3AccessPerf = if(EnableSv48) Some(Wire(Vec(l2tlbParams.l3Size, Bool()))) else None 2693ea4388cSHaoyuan Feng val l2AccessPerf = Wire(Vec(l2tlbParams.l2Size, Bool())) 2703ea4388cSHaoyuan Feng val l1AccessPerf = Wire(Vec(l2tlbParams.l1nWays, Bool())) 2713ea4388cSHaoyuan Feng val l0AccessPerf = Wire(Vec(l2tlbParams.l0nWays, Bool())) 2725854c1edSLemover val spAccessPerf = Wire(Vec(l2tlbParams.spSize, Bool())) 2733ea4388cSHaoyuan Feng if (EnableSv48) l3AccessPerf.map(_.map(_ := false.B)) 2746d5ddbceSLemover l2AccessPerf.map(_ := false.B) 2753ea4388cSHaoyuan Feng l1AccessPerf.map(_ := false.B) 2763ea4388cSHaoyuan Feng l0AccessPerf.map(_ := false.B) 2776d5ddbceSLemover spAccessPerf.map(_ := false.B) 2786d5ddbceSLemover 2793889e11eSLemover 2801f4a7c0cSLemover 28182978df9Speixiaokun def vpn_match(vpn1: UInt, vpn2: UInt, level: Int) = { 2823ea4388cSHaoyuan Feng (vpn1(vpnLen-1, vpnnLen*level+3) === vpn2(vpnLen-1, vpnnLen*level+3)) 2831f4a7c0cSLemover } 2841f4a7c0cSLemover // NOTE: not actually bypassed, just check if hit, re-access the page cache 285d0de7e4aSpeixiaokun def refill_bypass(vpn: UInt, level: Int, h_search: UInt) = { 2866f508cb5Speixiaokun val change_h = MuxLookup(h_search, noS2xlate)(Seq( 287980ddf4cSpeixiaokun allStage -> onlyStage1, 288980ddf4cSpeixiaokun onlyStage1 -> onlyStage1, 289980ddf4cSpeixiaokun onlyStage2 -> onlyStage2 290980ddf4cSpeixiaokun )) 2914ed5afbdSXiaokun-Pei val change_refill_h = MuxLookup(io.refill.bits.req_info_dup(0).s2xlate, noS2xlate)(Seq( 2924ed5afbdSXiaokun-Pei allStage -> onlyStage1, 2934ed5afbdSXiaokun-Pei onlyStage1 -> onlyStage1, 2944ed5afbdSXiaokun-Pei onlyStage2 -> onlyStage2 2954ed5afbdSXiaokun-Pei )) 296d0de7e4aSpeixiaokun val refill_vpn = io.refill.bits.req_info_dup(0).vpn 2974ed5afbdSXiaokun-Pei io.refill.valid && (level.U === io.refill.bits.level_dup(0)) && vpn_match(refill_vpn, vpn, level) && change_h === change_refill_h 2981f4a7c0cSLemover } 2991f4a7c0cSLemover 30082978df9Speixiaokun val vpn_search = stageReq.bits.req_info.vpn 3016f508cb5Speixiaokun val h_search = MuxLookup(stageReq.bits.req_info.s2xlate, noS2xlate)(Seq( 30209280d15Speixiaokun allStage -> onlyStage1, 30309280d15Speixiaokun onlyStage1 -> onlyStage1, 30409280d15Speixiaokun onlyStage2 -> onlyStage2 30509280d15Speixiaokun )) 3063ea4388cSHaoyuan Feng 3073ea4388cSHaoyuan Feng // l3 3083ea4388cSHaoyuan Feng val l3Hit = if(EnableSv48) Some(Wire(Bool())) else None 3093ea4388cSHaoyuan Feng val l3HitPPN = if(EnableSv48) Some(Wire(UInt(ppnLen.W))) else None 310002c10a4SYanqin Li val l3HitPbmt = if(EnableSv48) Some(Wire(UInt(ptePbmtLen.W))) else None 3113ea4388cSHaoyuan Feng val l3Pre = if(EnableSv48) Some(Wire(Bool())) else None 3123ea4388cSHaoyuan Feng val ptwl3replace = if(EnableSv48) Some(ReplacementPolicy.fromString(l2tlbParams.l3Replacer, l2tlbParams.l3Size)) else None 3133ea4388cSHaoyuan Feng if (EnableSv48) { 3143ea4388cSHaoyuan Feng val hitVecT = l3.get.zipWithIndex.map { 31597929664SXiaokun-Pei case (e, i) => (e.hit(vpn_search, io.csr_dup(2).satp.asid, io.csr_dup(2).vsatp.asid, io.csr_dup(2).hgatp.vmid, s2xlate = h_search =/= noS2xlate) 3163ea4388cSHaoyuan Feng && l3v.get(i) && h_search === l3h.get(i)) 317d0de7e4aSpeixiaokun } 3186c4dcc2dSLemover val hitVec = hitVecT.map(RegEnable(_, stageReq.fire)) 3191f4a7c0cSLemover 3203ea4388cSHaoyuan Feng // stageDelay, but check for l3 3213ea4388cSHaoyuan Feng val hitPPN = DataHoldBypass(ParallelPriorityMux(hitVec zip l3.get.map(_.ppn)), stageDelay_valid_1cycle) 322002c10a4SYanqin Li val hitPbmt = DataHoldBypass(ParallelPriorityMux(hitVec zip l3.get.map(_.pbmt)), stageDelay_valid_1cycle) 3233ea4388cSHaoyuan Feng val hitPre = DataHoldBypass(ParallelPriorityMux(hitVec zip l3.get.map(_.prefetch)), stageDelay_valid_1cycle) 3241f4a7c0cSLemover val hit = DataHoldBypass(ParallelOR(hitVec), stageDelay_valid_1cycle) 3256d5ddbceSLemover 3263ea4388cSHaoyuan Feng when (hit && stageDelay_valid_1cycle) { ptwl3replace.get.access(OHToUInt(hitVec)) } 3276d5ddbceSLemover 3283ea4388cSHaoyuan Feng l3AccessPerf.get.zip(hitVec).map{ case (l, h) => l := h && stageDelay_valid_1cycle} 3293ea4388cSHaoyuan Feng for (i <- 0 until l2tlbParams.l3Size) { 33097929664SXiaokun-Pei XSDebug(stageReq.fire, p"[l3] l3(${i.U}) ${l3.get(i)} hit:${l3.get(i).hit(vpn_search, io.csr_dup(2).satp.asid, io.csr_dup(2).vsatp.asid, io.csr_dup(2).hgatp.vmid, s2xlate = h_search =/= noS2xlate)}\n") 3316d5ddbceSLemover } 3323ea4388cSHaoyuan Feng XSDebug(stageReq.fire, p"[l3] l3v:${Binary(l3v.get)} hitVecT:${Binary(VecInit(hitVecT).asUInt)}\n") 3333ea4388cSHaoyuan Feng XSDebug(stageDelay(0).valid, p"[l3] l3Hit:${hit} l3HitPPN:0x${Hexadecimal(hitPPN)} hitVec:${VecInit(hitVec).asUInt}\n") 3346d5ddbceSLemover 3353ea4388cSHaoyuan Feng VecInit(hitVecT).suggestName(s"l3_hitVecT") 3363ea4388cSHaoyuan Feng VecInit(hitVec).suggestName(s"l3_hitVec") 3373ea4388cSHaoyuan Feng 3383ea4388cSHaoyuan Feng // synchronize with other entries with RegEnable 3393ea4388cSHaoyuan Feng l3Hit.map(_ := RegEnable(hit, stageDelay(1).fire)) 3403ea4388cSHaoyuan Feng l3HitPPN.map(_ := RegEnable(hitPPN, stageDelay(1).fire)) 341002c10a4SYanqin Li l3HitPbmt.map(_ := RegEnable(hitPbmt, stageDelay(1).fire)) 3423ea4388cSHaoyuan Feng l3Pre.map(_ := RegEnable(hitPre, stageDelay(1).fire)) 3433ea4388cSHaoyuan Feng } 3443ea4388cSHaoyuan Feng 3453ea4388cSHaoyuan Feng // l2 3463ea4388cSHaoyuan Feng val ptwl2replace = ReplacementPolicy.fromString(l2tlbParams.l2Replacer, l2tlbParams.l2Size) 347002c10a4SYanqin Li val (l2Hit, l2HitPPN, l2HitPbmt, l2Pre) = { 3483ea4388cSHaoyuan Feng val hitVecT = l2.zipWithIndex.map { 34997929664SXiaokun-Pei case (e, i) => (e.hit(vpn_search, io.csr_dup(2).satp.asid, io.csr_dup(2).vsatp.asid, io.csr_dup(2).hgatp.vmid, s2xlate = h_search =/= noS2xlate) 3503ea4388cSHaoyuan Feng && l2v(i) && h_search === l2h(i)) 3513ea4388cSHaoyuan Feng } 3523ea4388cSHaoyuan Feng val hitVec = hitVecT.map(RegEnable(_, stageReq.fire)) 3533ea4388cSHaoyuan Feng 3543ea4388cSHaoyuan Feng // stageDelay, but check for l2 3553ea4388cSHaoyuan Feng val hitPPN = DataHoldBypass(ParallelPriorityMux(hitVec zip l2.map(_.ppn)), stageDelay_valid_1cycle) 356002c10a4SYanqin Li val hitPbmt = DataHoldBypass(ParallelPriorityMux(hitVec zip l2.map(_.pbmt)), stageDelay_valid_1cycle) 3573ea4388cSHaoyuan Feng val hitPre = DataHoldBypass(ParallelPriorityMux(hitVec zip l2.map(_.prefetch)), stageDelay_valid_1cycle) 3583ea4388cSHaoyuan Feng val hit = DataHoldBypass(ParallelOR(hitVec), stageDelay_valid_1cycle) 3593ea4388cSHaoyuan Feng 3603ea4388cSHaoyuan Feng when (hit && stageDelay_valid_1cycle) { ptwl2replace.access(OHToUInt(hitVec)) } 3613ea4388cSHaoyuan Feng 3623ea4388cSHaoyuan Feng l2AccessPerf.zip(hitVec).map{ case (l, h) => l := h && stageDelay_valid_1cycle} 3633ea4388cSHaoyuan Feng for (i <- 0 until l2tlbParams.l2Size) { 36497929664SXiaokun-Pei XSDebug(stageReq.fire, p"[l2] l2(${i.U}) ${l2(i)} hit:${l2(i).hit(vpn_search, io.csr_dup(2).satp.asid, io.csr_dup(2).vsatp.asid, io.csr_dup(2).hgatp.vmid, s2xlate = h_search =/= noS2xlate)}\n") 3653ea4388cSHaoyuan Feng } 3663ea4388cSHaoyuan Feng XSDebug(stageReq.fire, p"[l2] l2v:${Binary(l2v)} hitVecT:${Binary(VecInit(hitVecT).asUInt)}\n") 3673ea4388cSHaoyuan Feng XSDebug(stageDelay(0).valid, p"[l2] l2Hit:${hit} l2HitPPN:0x${Hexadecimal(hitPPN)} hitVec:${VecInit(hitVec).asUInt}\n") 3683ea4388cSHaoyuan Feng 3693ea4388cSHaoyuan Feng VecInit(hitVecT).suggestName(s"l2_hitVecT") 3703ea4388cSHaoyuan Feng VecInit(hitVec).suggestName(s"l2_hitVec") 3716d5ddbceSLemover 3726c4dcc2dSLemover // synchronize with other entries with RegEnable 3736c4dcc2dSLemover (RegEnable(hit, stageDelay(1).fire), 3746c4dcc2dSLemover RegEnable(hitPPN, stageDelay(1).fire), 375002c10a4SYanqin Li RegEnable(hitPbmt, stageDelay(1).fire), 3766c4dcc2dSLemover RegEnable(hitPre, stageDelay(1).fire)) 3776d5ddbceSLemover } 3786d5ddbceSLemover 3793ea4388cSHaoyuan Feng // l1 3803ea4388cSHaoyuan Feng val ptwl1replace = ReplacementPolicy.fromString(l2tlbParams.l1Replacer,l2tlbParams.l1nWays,l2tlbParams.l1nSets) 381002c10a4SYanqin Li val (l1Hit, l1HitPPN, l1HitPbmt, l1Pre, l1eccError) = { 3823ea4388cSHaoyuan Feng val ridx = genPtwL1SetIdx(vpn_search) 3833ea4388cSHaoyuan Feng l1.io.r.req.valid := stageReq.fire 3843ea4388cSHaoyuan Feng l1.io.r.req.bits.apply(setIdx = ridx) 3853ea4388cSHaoyuan Feng val vVec_req = getl1vSet(vpn_search) 3863ea4388cSHaoyuan Feng val hVec_req = getl1hSet(vpn_search) 3876c4dcc2dSLemover 3886c4dcc2dSLemover // delay one cycle after sram read 38982978df9Speixiaokun val delay_vpn = stageDelay(0).bits.req_info.vpn 3906f508cb5Speixiaokun val delay_h = MuxLookup(stageDelay(0).bits.req_info.s2xlate, noS2xlate)(Seq( 391980ddf4cSpeixiaokun allStage -> onlyStage1, 392980ddf4cSpeixiaokun onlyStage1 -> onlyStage1, 393980ddf4cSpeixiaokun onlyStage2 -> onlyStage2 394980ddf4cSpeixiaokun )) 3953ea4388cSHaoyuan Feng val data_resp = DataHoldBypass(l1.io.r.resp.data, stageDelay_valid_1cycle) 3967797f035SbugGenerator val vVec_delay = RegEnable(vVec_req, stageReq.fire) 397d0de7e4aSpeixiaokun val hVec_delay = RegEnable(hVec_req, stageReq.fire) 398d0de7e4aSpeixiaokun val hitVec_delay = VecInit(data_resp.zip(vVec_delay.asBools).zip(hVec_delay).map { case ((wayData, v), h) => 39997929664SXiaokun-Pei wayData.entries.hit(delay_vpn, io.csr_dup(1).satp.asid, io.csr_dup(1).vsatp.asid, io.csr_dup(1).hgatp.vmid, s2xlate = delay_h =/= noS2xlate) && v && (delay_h === h)}) 4006c4dcc2dSLemover 4016c4dcc2dSLemover // check hit and ecc 40282978df9Speixiaokun val check_vpn = stageCheck(0).bits.req_info.vpn 4036c4dcc2dSLemover val ramDatas = RegEnable(data_resp, stageDelay(1).fire) 404935edac4STang Haojin val vVec = RegEnable(vVec_delay, stageDelay(1).fire).asBools 4056c4dcc2dSLemover 4067797f035SbugGenerator val hitVec = RegEnable(hitVec_delay, stageDelay(1).fire) 4077196f5a2SLemover val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas) 4087196f5a2SLemover val hitWayData = hitWayEntry.entries 4096c4dcc2dSLemover val hit = ParallelOR(hitVec) 4103ea4388cSHaoyuan Feng val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l1nWays).map(_.U(log2Up(l2tlbParams.l1nWays).W))) 411eef81af7SHaoyuan Feng val eccError = WireInit(false.B) 412eef81af7SHaoyuan Feng if (l2tlbParams.enablePTWECC) { 413eef81af7SHaoyuan Feng eccError := hitWayEntry.decode() 414eef81af7SHaoyuan Feng } else { 415eef81af7SHaoyuan Feng eccError := false.B 416eef81af7SHaoyuan Feng } 4177196f5a2SLemover 4183ea4388cSHaoyuan Feng ridx.suggestName(s"l1_ridx") 4193ea4388cSHaoyuan Feng ramDatas.suggestName(s"l1_ramDatas") 4203ea4388cSHaoyuan Feng hitVec.suggestName(s"l1_hitVec") 4213ea4388cSHaoyuan Feng hitWayData.suggestName(s"l1_hitWayData") 4223ea4388cSHaoyuan Feng hitWay.suggestName(s"l1_hitWay") 4236d5ddbceSLemover 4243ea4388cSHaoyuan Feng when (hit && stageCheck_valid_1cycle) { ptwl1replace.access(genPtwL1SetIdx(check_vpn), hitWay) } 4256d5ddbceSLemover 4263ea4388cSHaoyuan Feng l1AccessPerf.zip(hitVec).map{ case (l, h) => l := h && stageCheck_valid_1cycle } 4273ea4388cSHaoyuan Feng XSDebug(stageDelay_valid_1cycle, p"[l1] ridx:0x${Hexadecimal(ridx)}\n") 4283ea4388cSHaoyuan Feng for (i <- 0 until l2tlbParams.l1nWays) { 4293ea4388cSHaoyuan Feng XSDebug(stageCheck_valid_1cycle, p"[l1] ramDatas(${i.U}) ${ramDatas(i)} l1v:${vVec(i)} hit:${hit}\n") 4306d5ddbceSLemover } 4313ea4388cSHaoyuan Feng XSDebug(stageCheck_valid_1cycle, p"[l1] l1Hit:${hit} l1HitPPN:0x${Hexadecimal(hitWayData.ppns(genPtwL1SectorIdx(check_vpn)))} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} vidx:${vVec}\n") 4326d5ddbceSLemover 433002c10a4SYanqin Li (hit, hitWayData.ppns(genPtwL1SectorIdx(check_vpn)), hitWayData.pbmts(genPtwL1SectorIdx(check_vpn)), hitWayData.prefetch, eccError) 4346d5ddbceSLemover } 4356d5ddbceSLemover 4363ea4388cSHaoyuan Feng // l0 4373ea4388cSHaoyuan Feng val ptwl0replace = ReplacementPolicy.fromString(l2tlbParams.l0Replacer,l2tlbParams.l0nWays,l2tlbParams.l0nSets) 4383ea4388cSHaoyuan Feng val (l0Hit, l0HitData, l0Pre, l0eccError) = { 4393ea4388cSHaoyuan Feng val ridx = genPtwL0SetIdx(vpn_search) 4403ea4388cSHaoyuan Feng l0.io.r.req.valid := stageReq.fire 4413ea4388cSHaoyuan Feng l0.io.r.req.bits.apply(setIdx = ridx) 4423ea4388cSHaoyuan Feng val vVec_req = getl0vSet(vpn_search) 4433ea4388cSHaoyuan Feng val hVec_req = getl0hSet(vpn_search) 4446c4dcc2dSLemover 4456c4dcc2dSLemover // delay one cycle after sram read 44682978df9Speixiaokun val delay_vpn = stageDelay(0).bits.req_info.vpn 4476f508cb5Speixiaokun val delay_h = MuxLookup(stageDelay(0).bits.req_info.s2xlate, noS2xlate)(Seq( 448980ddf4cSpeixiaokun allStage -> onlyStage1, 449980ddf4cSpeixiaokun onlyStage1 -> onlyStage1, 450980ddf4cSpeixiaokun onlyStage2 -> onlyStage2 451980ddf4cSpeixiaokun )) 4523ea4388cSHaoyuan Feng val data_resp = DataHoldBypass(l0.io.r.resp.data, stageDelay_valid_1cycle) 4537797f035SbugGenerator val vVec_delay = RegEnable(vVec_req, stageReq.fire) 454d0de7e4aSpeixiaokun val hVec_delay = RegEnable(hVec_req, stageReq.fire) 455d0de7e4aSpeixiaokun val hitVec_delay = VecInit(data_resp.zip(vVec_delay.asBools).zip(hVec_delay).map { case ((wayData, v), h) => 45697929664SXiaokun-Pei wayData.entries.hit(delay_vpn, io.csr_dup(0).satp.asid, io.csr_dup(0).vsatp.asid, io.csr_dup(0).hgatp.vmid, s2xlate = delay_h =/= noS2xlate) && v && (delay_h === h)}) 4576c4dcc2dSLemover 4586c4dcc2dSLemover // check hit and ecc 45982978df9Speixiaokun val check_vpn = stageCheck(0).bits.req_info.vpn 4606c4dcc2dSLemover val ramDatas = RegEnable(data_resp, stageDelay(1).fire) 461935edac4STang Haojin val vVec = RegEnable(vVec_delay, stageDelay(1).fire).asBools 4626c4dcc2dSLemover 4637797f035SbugGenerator val hitVec = RegEnable(hitVec_delay, stageDelay(1).fire) 4647196f5a2SLemover val hitWayEntry = ParallelPriorityMux(hitVec zip ramDatas) 4657196f5a2SLemover val hitWayData = hitWayEntry.entries 4667196f5a2SLemover val hitWayEcc = hitWayEntry.ecc 4676c4dcc2dSLemover val hit = ParallelOR(hitVec) 4683ea4388cSHaoyuan Feng val hitWay = ParallelPriorityMux(hitVec zip (0 until l2tlbParams.l0nWays).map(_.U(log2Up(l2tlbParams.l0nWays).W))) 469eef81af7SHaoyuan Feng val eccError = WireInit(false.B) 470eef81af7SHaoyuan Feng if (l2tlbParams.enablePTWECC) { 471eef81af7SHaoyuan Feng eccError := hitWayEntry.decode() 472eef81af7SHaoyuan Feng } else { 473eef81af7SHaoyuan Feng eccError := false.B 474eef81af7SHaoyuan Feng } 4756d5ddbceSLemover 4763ea4388cSHaoyuan Feng when (hit && stageCheck_valid_1cycle) { ptwl0replace.access(genPtwL0SetIdx(check_vpn), hitWay) } 4777196f5a2SLemover 4783ea4388cSHaoyuan Feng l0AccessPerf.zip(hitVec).map{ case (l, h) => l := h && stageCheck_valid_1cycle } 4793ea4388cSHaoyuan Feng XSDebug(stageReq.fire, p"[l0] ridx:0x${Hexadecimal(ridx)}\n") 4803ea4388cSHaoyuan Feng for (i <- 0 until l2tlbParams.l0nWays) { 4813ea4388cSHaoyuan Feng XSDebug(stageCheck_valid_1cycle, p"[l0] ramDatas(${i.U}) ${ramDatas(i)} l0v:${vVec(i)} hit:${hitVec(i)}\n") 4826d5ddbceSLemover } 4833ea4388cSHaoyuan Feng XSDebug(stageCheck_valid_1cycle, p"[l0] l0Hit:${hit} l0HitData:${hitWayData} hitVec:${Binary(hitVec.asUInt)} hitWay:${hitWay} v:${vVec}\n") 4846d5ddbceSLemover 4853ea4388cSHaoyuan Feng ridx.suggestName(s"l0_ridx") 4863ea4388cSHaoyuan Feng ramDatas.suggestName(s"l0_ramDatas") 4873ea4388cSHaoyuan Feng hitVec.suggestName(s"l0_hitVec") 4883ea4388cSHaoyuan Feng hitWay.suggestName(s"l0_hitWay") 4896d5ddbceSLemover 4903889e11eSLemover (hit, hitWayData, hitWayData.prefetch, eccError) 4916d5ddbceSLemover } 4923ea4388cSHaoyuan Feng val l0HitPPN = l0HitData.ppns 493002c10a4SYanqin Li val l0HitPbmt = l0HitData.pbmts 4943ea4388cSHaoyuan Feng val l0HitPerm = l0HitData.perms.getOrElse(0.U.asTypeOf(Vec(PtwL0SectorSize, new PtePermBundle))) 4953ea4388cSHaoyuan Feng val l0HitValid = l0HitData.vs 4963ea4388cSHaoyuan Feng val l0HitAf = l0HitData.af 4976d5ddbceSLemover 4986d5ddbceSLemover // super page 4995854c1edSLemover val spreplace = ReplacementPolicy.fromString(l2tlbParams.spReplacer, l2tlbParams.spSize) 5008d8ac704SLemover val (spHit, spHitData, spPre, spValid) = { 50197929664SXiaokun-Pei val hitVecT = sp.zipWithIndex.map { case (e, i) => e.hit(vpn_search, io.csr_dup(0).satp.asid, io.csr_dup(0).vsatp.asid, io.csr_dup(0).hgatp.vmid, s2xlate = h_search =/= noS2xlate) && spv(i) && (sph(i) === h_search) } 5026c4dcc2dSLemover val hitVec = hitVecT.map(RegEnable(_, stageReq.fire)) 5036d5ddbceSLemover val hitData = ParallelPriorityMux(hitVec zip sp) 5046c4dcc2dSLemover val hit = ParallelOR(hitVec) 5056d5ddbceSLemover 5066c4dcc2dSLemover when (hit && stageDelay_valid_1cycle) { spreplace.access(OHToUInt(hitVec)) } 5076d5ddbceSLemover 5086c4dcc2dSLemover spAccessPerf.zip(hitVec).map{ case (s, h) => s := h && stageDelay_valid_1cycle } 5095854c1edSLemover for (i <- 0 until l2tlbParams.spSize) { 51097929664SXiaokun-Pei XSDebug(stageReq.fire, p"[sp] sp(${i.U}) ${sp(i)} hit:${sp(i).hit(vpn_search, io.csr_dup(0).satp.asid, io.csr_dup(0).vsatp.asid, io.csr_dup(0).hgatp.vmid, s2xlate = h_search =/= noS2xlate)} spv:${spv(i)}\n") 5116d5ddbceSLemover } 5126c4dcc2dSLemover XSDebug(stageDelay_valid_1cycle, p"[sp] spHit:${hit} spHitData:${hitData} hitVec:${Binary(VecInit(hitVec).asUInt)}\n") 5136d5ddbceSLemover 5146d5ddbceSLemover VecInit(hitVecT).suggestName(s"sp_hitVecT") 5156d5ddbceSLemover VecInit(hitVec).suggestName(s"sp_hitVec") 5166d5ddbceSLemover 5176c4dcc2dSLemover (RegEnable(hit, stageDelay(1).fire), 5186c4dcc2dSLemover RegEnable(hitData, stageDelay(1).fire), 5196c4dcc2dSLemover RegEnable(hitData.prefetch, stageDelay(1).fire), 520935edac4STang Haojin RegEnable(hitData.v, stageDelay(1).fire)) 5216d5ddbceSLemover } 5226d5ddbceSLemover val spHitPerm = spHitData.perm.getOrElse(0.U.asTypeOf(new PtePermBundle)) 5236d5ddbceSLemover val spHitLevel = spHitData.level.getOrElse(0.U) 5246d5ddbceSLemover 5256c4dcc2dSLemover val check_res = Wire(new PageCacheRespBundle) 5263ea4388cSHaoyuan Feng check_res.l3.map(_.apply(l3Hit.get, l3Pre.get, l3HitPPN.get)) 527002c10a4SYanqin Li check_res.l2.apply(l2Hit, l2Pre, l2HitPPN, l2HitPbmt) 528002c10a4SYanqin Li check_res.l1.apply(l1Hit, l1Pre, l1HitPPN, l1HitPbmt, ecc = l1eccError) 529002c10a4SYanqin Li check_res.l0.apply(l0Hit, l0Pre, l0HitPPN, l0HitPbmt, l0HitPerm, l0eccError, valid = l0HitValid, accessFault = l0HitAf) 530002c10a4SYanqin Li check_res.sp.apply(spHit, spPre, spHitData.ppn, spHitData.pbmt, spHitPerm, false.B, spHitLevel, spValid) 5316d5ddbceSLemover 5326c4dcc2dSLemover val resp_res = Reg(new PageCacheRespBundle) 5336c4dcc2dSLemover when (stageCheck(1).fire) { resp_res := check_res } 5343889e11eSLemover 5351f4a7c0cSLemover // stageResp bypass 5363ea4388cSHaoyuan Feng val bypassed = if (EnableSv48) Wire(Vec(4, Bool())) else Wire(Vec(3, Bool())) 5371f4a7c0cSLemover bypassed.indices.foreach(i => 5381f4a7c0cSLemover bypassed(i) := stageResp.bits.bypassed(i) || 5396967f5d5Speixiaokun ValidHoldBypass(refill_bypass(stageResp.bits.req_info.vpn, i, stageResp.bits.req_info.s2xlate), 5401f4a7c0cSLemover OneCycleValid(stageCheck(1).fire, false.B) || io.refill.valid) 5411f4a7c0cSLemover ) 5421f4a7c0cSLemover 54383d93d53Speixiaokun // stageResp bypass to hptw 5443ea4388cSHaoyuan Feng val hptw_bypassed = if (EnableSv48) Wire(Vec(4, Bool())) else Wire(Vec(3, Bool())) 54583d93d53Speixiaokun hptw_bypassed.indices.foreach(i => 54683d93d53Speixiaokun hptw_bypassed(i) := stageResp.bits.bypassed(i) || 54783d93d53Speixiaokun ValidHoldBypass(refill_bypass(stageResp.bits.req_info.vpn, i, stageResp.bits.req_info.s2xlate), 54883d93d53Speixiaokun io.resp.fire) 54983d93d53Speixiaokun ) 55083d93d53Speixiaokun 55130104977Speixiaokun val isAllStage = stageResp.bits.req_info.s2xlate === allStage 552c0991f6aSpeixiaokun val isOnlyStage2 = stageResp.bits.req_info.s2xlate === onlyStage2 5533ea4388cSHaoyuan Feng val stage1Hit = (resp_res.l0.hit || resp_res.sp.hit) && isAllStage 554da605600Speixiaokun val idx = stageResp.bits.req_info.vpn(2, 0) 5553ea4388cSHaoyuan Feng val stage1Pf = !Mux(resp_res.l0.hit, resp_res.l0.v(idx), resp_res.sp.v) 5566c4dcc2dSLemover io.resp.bits.req_info := stageResp.bits.req_info 5576c4dcc2dSLemover io.resp.bits.isFirst := stageResp.bits.isFirst 5583ea4388cSHaoyuan Feng io.resp.bits.hit := (resp_res.l0.hit || resp_res.sp.hit) && (!isAllStage || isAllStage && stage1Pf) 5593ea4388cSHaoyuan Feng if (EnableSv48) { 5603ea4388cSHaoyuan Feng io.resp.bits.bypassed := (bypassed(0) || (bypassed(1) && !resp_res.l1.hit) || (bypassed(2) && !resp_res.l2.hit) || (bypassed(3) && !resp_res.l3.get.hit)) && !isAllStage 5613ea4388cSHaoyuan Feng } else { 5623ea4388cSHaoyuan Feng io.resp.bits.bypassed := (bypassed(0) || (bypassed(1) && !resp_res.l1.hit) || (bypassed(2) && !resp_res.l2.hit)) && !isAllStage 5633ea4388cSHaoyuan Feng } 5643ea4388cSHaoyuan Feng io.resp.bits.prefetch := resp_res.l0.pre && resp_res.l0.hit || resp_res.sp.pre && resp_res.sp.hit 5653ea4388cSHaoyuan Feng io.resp.bits.toFsm.l3Hit.map(_ := resp_res.l3.get.hit && !stage1Hit && !isOnlyStage2 && !stageResp.bits.isHptwReq) 566325f0a4eSpeixiaokun io.resp.bits.toFsm.l2Hit := resp_res.l2.hit && !stage1Hit && !isOnlyStage2 && !stageResp.bits.isHptwReq 5673ea4388cSHaoyuan Feng io.resp.bits.toFsm.l1Hit := resp_res.l1.hit && !stage1Hit && !isOnlyStage2 && !stageResp.bits.isHptwReq 5683ea4388cSHaoyuan Feng io.resp.bits.toFsm.ppn := Mux(resp_res.l1.hit, resp_res.l1.ppn, Mux(resp_res.l2.hit, resp_res.l2.ppn, resp_res.l3.getOrElse(0.U.asTypeOf(new PageCachePerPespBundle)).ppn)) 569980ddf4cSpeixiaokun io.resp.bits.toFsm.stage1Hit := stage1Hit 570d0de7e4aSpeixiaokun 571325f0a4eSpeixiaokun io.resp.bits.isHptwReq := stageResp.bits.isHptwReq 5723ea4388cSHaoyuan Feng if (EnableSv48) { 5733ea4388cSHaoyuan Feng io.resp.bits.toHptw.bypassed := (hptw_bypassed(0) || (hptw_bypassed(1) && !resp_res.l1.hit) || (hptw_bypassed(2) && !resp_res.l2.hit) || (hptw_bypassed(3) && !resp_res.l3.get.hit)) && stageResp.bits.isHptwReq 5743ea4388cSHaoyuan Feng } else { 5753ea4388cSHaoyuan Feng io.resp.bits.toHptw.bypassed := (hptw_bypassed(0) || (hptw_bypassed(1) && !resp_res.l1.hit) || (hptw_bypassed(2) && !resp_res.l2.hit)) && stageResp.bits.isHptwReq 5763ea4388cSHaoyuan Feng } 577d0de7e4aSpeixiaokun io.resp.bits.toHptw.id := stageResp.bits.hptwId 5783ea4388cSHaoyuan Feng io.resp.bits.toHptw.l3Hit.map(_ := resp_res.l3.get.hit && stageResp.bits.isHptwReq) 579325f0a4eSpeixiaokun io.resp.bits.toHptw.l2Hit := resp_res.l2.hit && stageResp.bits.isHptwReq 5803ea4388cSHaoyuan Feng io.resp.bits.toHptw.l1Hit := resp_res.l1.hit && stageResp.bits.isHptwReq 5813ea4388cSHaoyuan Feng io.resp.bits.toHptw.ppn := Mux(resp_res.l1.hit, resp_res.l1.ppn, Mux(resp_res.l2.hit, resp_res.l2.ppn, resp_res.l3.getOrElse(0.U.asTypeOf(new PageCachePerPespBundle)).ppn))(ppnLen - 1, 0) 58282978df9Speixiaokun io.resp.bits.toHptw.resp.entry.tag := stageResp.bits.req_info.vpn 583eb4bf3f2Speixiaokun io.resp.bits.toHptw.resp.entry.asid := DontCare 58497929664SXiaokun-Pei io.resp.bits.toHptw.resp.entry.vmid.map(_ := io.csr_dup(0).hgatp.vmid) 5853ea4388cSHaoyuan Feng io.resp.bits.toHptw.resp.entry.level.map(_ := Mux(resp_res.l0.hit, 0.U, resp_res.sp.level)) 586d0de7e4aSpeixiaokun io.resp.bits.toHptw.resp.entry.prefetch := from_pre(stageResp.bits.req_info.source) 5873ea4388cSHaoyuan Feng io.resp.bits.toHptw.resp.entry.ppn := Mux(resp_res.l0.hit, resp_res.l0.ppn(idx), resp_res.sp.ppn)(ppnLen - 1, 0) 588002c10a4SYanqin Li io.resp.bits.toHptw.resp.entry.pbmt := Mux(resp_res.l0.hit, resp_res.l0.pbmt(idx), resp_res.sp.pbmt) 5893ea4388cSHaoyuan Feng io.resp.bits.toHptw.resp.entry.perm.map(_ := Mux(resp_res.l0.hit, resp_res.l0.perm(idx), resp_res.sp.perm)) 5903ea4388cSHaoyuan Feng io.resp.bits.toHptw.resp.entry.v := Mux(resp_res.l0.hit, resp_res.l0.v(idx), resp_res.sp.v) 591d0de7e4aSpeixiaokun io.resp.bits.toHptw.resp.gpf := !io.resp.bits.toHptw.resp.entry.v 5923ea4388cSHaoyuan Feng io.resp.bits.toHptw.resp.gaf := Mux(resp_res.l0.hit, resp_res.l0.af(idx), false.B) 593d0de7e4aSpeixiaokun 5946979864eSXiaokun-Pei io.resp.bits.stage1.entry.map(_.tag := stageResp.bits.req_info.vpn(vpnLen - 1, 3)) 5956979864eSXiaokun-Pei io.resp.bits.stage1.entry.map(_.asid := Mux(stageResp.bits.req_info.hasS2xlate(), io.csr_dup(0).vsatp.asid, io.csr_dup(0).satp.asid)) // DontCare 59697929664SXiaokun-Pei io.resp.bits.stage1.entry.map(_.vmid.map(_ := io.csr_dup(0).hgatp.vmid)) 5973ea4388cSHaoyuan Feng if (EnableSv48) { 5983ea4388cSHaoyuan Feng io.resp.bits.stage1.entry.map(_.level.map(_ := Mux(resp_res.l0.hit, 0.U, 5993ea4388cSHaoyuan Feng Mux(resp_res.sp.hit, resp_res.sp.level, 6003ea4388cSHaoyuan Feng Mux(resp_res.l1.hit, 1.U, 6013ea4388cSHaoyuan Feng Mux(resp_res.l2.hit, 2.U, 3.U)))))) 6023ea4388cSHaoyuan Feng } else { 6033ea4388cSHaoyuan Feng io.resp.bits.stage1.entry.map(_.level.map(_ := Mux(resp_res.l0.hit, 0.U, 6043ea4388cSHaoyuan Feng Mux(resp_res.sp.hit, resp_res.sp.level, 6053ea4388cSHaoyuan Feng Mux(resp_res.l1.hit, 1.U, 2.U))))) 6063ea4388cSHaoyuan Feng } 6076979864eSXiaokun-Pei io.resp.bits.stage1.entry.map(_.prefetch := from_pre(stageResp.bits.req_info.source)) 60863632028SHaoyuan Feng for (i <- 0 until tlbcontiguous) { 6093ea4388cSHaoyuan Feng if (EnableSv48) { 6103ea4388cSHaoyuan Feng io.resp.bits.stage1.entry(i).ppn := Mux(resp_res.l0.hit, resp_res.l0.ppn(i)(gvpnLen - 1, sectortlbwidth), 6113ea4388cSHaoyuan Feng Mux(resp_res.sp.hit, resp_res.sp.ppn(gvpnLen - 1, sectortlbwidth), 6123ea4388cSHaoyuan Feng Mux(resp_res.l1.hit, resp_res.l1.ppn(gvpnLen - 1, sectortlbwidth), 6133ea4388cSHaoyuan Feng Mux(resp_res.l2.hit, resp_res.l2.ppn(gvpnLen - 1, sectortlbwidth), 6143ea4388cSHaoyuan Feng resp_res.l3.get.ppn(gvpnLen - 1, sectortlbwidth))))) 6153ea4388cSHaoyuan Feng io.resp.bits.stage1.entry(i).ppn_low := Mux(resp_res.l0.hit, resp_res.l0.ppn(i)(sectortlbwidth - 1, 0), 6163ea4388cSHaoyuan Feng Mux(resp_res.sp.hit, resp_res.sp.ppn(sectortlbwidth - 1, 0), 6173ea4388cSHaoyuan Feng Mux(resp_res.l1.hit, resp_res.l1.ppn(sectortlbwidth - 1, 0), 6183ea4388cSHaoyuan Feng Mux(resp_res.l2.hit, resp_res.l2.ppn(sectortlbwidth - 1, 0), 6193ea4388cSHaoyuan Feng resp_res.l3.get.ppn(sectortlbwidth - 1, 0))))) 6203ea4388cSHaoyuan Feng io.resp.bits.stage1.entry(i).v := Mux(resp_res.l0.hit, resp_res.l0.v(i), 6213ea4388cSHaoyuan Feng Mux(resp_res.sp.hit, resp_res.sp.v, 6223ea4388cSHaoyuan Feng Mux(resp_res.l1.hit, resp_res.l1.v, 6233ea4388cSHaoyuan Feng Mux(resp_res.l2.hit, resp_res.l2.v, 6243ea4388cSHaoyuan Feng resp_res.l3.get.v)))) 6253ea4388cSHaoyuan Feng } else { 6263ea4388cSHaoyuan Feng io.resp.bits.stage1.entry(i).ppn := Mux(resp_res.l0.hit, resp_res.l0.ppn(i)(gvpnLen - 1, sectortlbwidth), 6273ea4388cSHaoyuan Feng Mux(resp_res.sp.hit, resp_res.sp.ppn(gvpnLen - 1, sectortlbwidth), 6283ea4388cSHaoyuan Feng Mux(resp_res.l1.hit, resp_res.l1.ppn(gvpnLen - 1, sectortlbwidth), 6293ea4388cSHaoyuan Feng resp_res.l2.ppn(gvpnLen - 1, sectortlbwidth)))) 6303ea4388cSHaoyuan Feng io.resp.bits.stage1.entry(i).ppn_low := Mux(resp_res.l0.hit, resp_res.l0.ppn(i)(sectortlbwidth - 1, 0), 6313ea4388cSHaoyuan Feng Mux(resp_res.sp.hit, resp_res.sp.ppn(sectortlbwidth - 1, 0), 6323ea4388cSHaoyuan Feng Mux(resp_res.l1.hit, resp_res.l1.ppn(sectortlbwidth - 1, 0), 6333ea4388cSHaoyuan Feng resp_res.l2.ppn(sectortlbwidth - 1, 0)))) 6343ea4388cSHaoyuan Feng io.resp.bits.stage1.entry(i).v := Mux(resp_res.l0.hit, resp_res.l0.v(i), 6353ea4388cSHaoyuan Feng Mux(resp_res.sp.hit, resp_res.sp.v, 6363ea4388cSHaoyuan Feng Mux(resp_res.l1.hit, resp_res.l1.v, 6373ea4388cSHaoyuan Feng resp_res.l2.v))) 6383ea4388cSHaoyuan Feng } 639002c10a4SYanqin Li io.resp.bits.stage1.entry(i).pbmt := Mux(resp_res.l0.hit, resp_res.l0.pbmt(i), 640002c10a4SYanqin Li Mux(resp_res.sp.hit, resp_res.sp.pbmt, 641002c10a4SYanqin Li Mux(resp_res.l1.hit, resp_res.l1.pbmt, 642002c10a4SYanqin Li resp_res.l2.pbmt))) 64397929664SXiaokun-Pei io.resp.bits.stage1.entry(i).perm.map(_ := Mux(resp_res.l0.hit, resp_res.l0.perm(i), Mux(resp_res.sp.hit, resp_res.sp.perm, 0.U.asTypeOf(new PtePermBundle)))) 6446979864eSXiaokun-Pei io.resp.bits.stage1.entry(i).pf := !io.resp.bits.stage1.entry(i).v 6453ea4388cSHaoyuan Feng io.resp.bits.stage1.entry(i).af := Mux(resp_res.l0.hit, resp_res.l0.af(i), false.B) 64663632028SHaoyuan Feng } 647da605600Speixiaokun io.resp.bits.stage1.pteidx := UIntToOH(idx).asBools 6483ea4388cSHaoyuan Feng io.resp.bits.stage1.not_super := Mux(resp_res.l0.hit, true.B, false.B) 6496c4dcc2dSLemover io.resp.valid := stageResp.valid 6503ea4388cSHaoyuan Feng XSError(stageResp.valid && resp_res.l0.hit && resp_res.sp.hit, "normal page and super page both hit") 6513ea4388cSHaoyuan Feng XSError(stageResp.valid && io.resp.bits.hit && bypassed(0), "page cache, bypassed but hit") 6526d5ddbceSLemover 6536d5ddbceSLemover // refill Perf 6543ea4388cSHaoyuan Feng val l3RefillPerf = if (EnableSv48) Some(Wire(Vec(l2tlbParams.l3Size, Bool()))) else None 6553ea4388cSHaoyuan Feng val l2RefillPerf = Wire(Vec(l2tlbParams.l2Size, Bool())) 6563ea4388cSHaoyuan Feng val l1RefillPerf = Wire(Vec(l2tlbParams.l1nWays, Bool())) 6573ea4388cSHaoyuan Feng val l0RefillPerf = Wire(Vec(l2tlbParams.l0nWays, Bool())) 6585854c1edSLemover val spRefillPerf = Wire(Vec(l2tlbParams.spSize, Bool())) 6593ea4388cSHaoyuan Feng l3RefillPerf.map(_.map(_ := false.B)) 6606d5ddbceSLemover l2RefillPerf.map(_ := false.B) 6613ea4388cSHaoyuan Feng l1RefillPerf.map(_ := false.B) 6623ea4388cSHaoyuan Feng l0RefillPerf.map(_ := false.B) 6636d5ddbceSLemover spRefillPerf.map(_ := false.B) 6646d5ddbceSLemover 6656d5ddbceSLemover // refill 6663ea4388cSHaoyuan Feng l1.io.w.req <> DontCare 6673ea4388cSHaoyuan Feng l0.io.w.req <> DontCare 6683ea4388cSHaoyuan Feng l1.io.w.req.valid := false.B 6693ea4388cSHaoyuan Feng l0.io.w.req.valid := false.B 6706d5ddbceSLemover 6716d5ddbceSLemover val memRdata = refill.ptes 6725854c1edSLemover val memPtes = (0 until (l2tlbParams.blockBytes/(XLEN/8))).map(i => memRdata((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle)) 6737797f035SbugGenerator val memSelData = io.refill.bits.sel_pte_dup 6747797f035SbugGenerator val memPte = memSelData.map(a => a.asTypeOf(new PteBundle)) 675b848eea5SLemover 6766d5ddbceSLemover // TODO: handle sfenceLatch outsize 6773ea4388cSHaoyuan Feng if (EnableSv48) { 67897929664SXiaokun-Pei when (!flush_dup(2) && refill.levelOH.l3.get && !memPte(2).isLeaf() && !memPte(2).isPf(refill.level_dup(2)) 679*0b1b8ed1SXiaokun-Pei && Mux(refill.req_info_dup(2).s2xlate === allStage, !memPte(2).isStage1Gpf(io.csr_dup(2).vsatp.mode), Mux(refill.req_info_dup(2).s2xlate === onlyStage1, !(memPte(2).isAf() || memPte(2).isStage1Gpf(io.csr_dup(2).vsatp.mode)), Mux(refill.req_info_dup(2).s2xlate === onlyStage2, !memPte(2).isGpf(refill.level_dup(2)), !memPte(2).isAf())))) { 6803ea4388cSHaoyuan Feng val refillIdx = replaceWrapper(l3v.get, ptwl3replace.get.way) 6813ea4388cSHaoyuan Feng refillIdx.suggestName(s"Ptwl3RefillIdx") 6826d5ddbceSLemover val rfOH = UIntToOH(refillIdx) 6833ea4388cSHaoyuan Feng l3.get(refillIdx).refill( 6843ea4388cSHaoyuan Feng refill.req_info_dup(2).vpn, 6853ea4388cSHaoyuan Feng Mux(refill.req_info_dup(2).s2xlate =/= noS2xlate, io.csr_dup(2).vsatp.asid, io.csr_dup(2).satp.asid), 68697929664SXiaokun-Pei io.csr_dup(2).hgatp.vmid, 6873ea4388cSHaoyuan Feng memSelData(2), 6883ea4388cSHaoyuan Feng 3.U, 6893ea4388cSHaoyuan Feng refill_prefetch_dup(2) 69045f497a4Shappy-lx ) 6913ea4388cSHaoyuan Feng ptwl2replace.access(refillIdx) 6923ea4388cSHaoyuan Feng l3v.get := l3v.get | rfOH 6933ea4388cSHaoyuan Feng l3g.get := (l3g.get & ~rfOH) | Mux(memPte(2).perm.g, rfOH, 0.U) 6943ea4388cSHaoyuan Feng l3h.get(refillIdx) := refill_h(2) 6956d5ddbceSLemover 6963ea4388cSHaoyuan Feng for (i <- 0 until l2tlbParams.l3Size) { 6973ea4388cSHaoyuan Feng l3RefillPerf.get(i) := i.U === refillIdx 6986d5ddbceSLemover } 6996d5ddbceSLemover 7003ea4388cSHaoyuan Feng XSDebug(p"[l3 refill] refillIdx:${refillIdx} refillEntry:${l3.get(refillIdx).genPtwEntry(refill.req_info_dup(2).vpn, Mux(refill.req_info_dup(2).s2xlate =/= noS2xlate, io.csr_dup(2).vsatp.asid, io.csr_dup(2).satp.asid), memSelData(2), 0.U, prefetch = refill_prefetch_dup(2))}\n") 7013ea4388cSHaoyuan Feng XSDebug(p"[l3 refill] l3v:${Binary(l3v.get)}->${Binary(l3v.get | rfOH)} l3g:${Binary(l3g.get)}->${Binary((l3g.get & ~rfOH) | Mux(memPte(2).perm.g, rfOH, 0.U))}\n") 7026d5ddbceSLemover 7033ea4388cSHaoyuan Feng refillIdx.suggestName(s"l3_refillIdx") 7043ea4388cSHaoyuan Feng rfOH.suggestName(s"l3_rfOH") 7053ea4388cSHaoyuan Feng } 7066d5ddbceSLemover } 7076d5ddbceSLemover 70897929664SXiaokun-Pei when (!flush_dup(2) && refill.levelOH.l2 && !memPte(2).isLeaf() && !memPte(2).isPf(refill.level_dup(2)) 709*0b1b8ed1SXiaokun-Pei && Mux(refill.req_info_dup(2).s2xlate === allStage, !memPte(2).isStage1Gpf(io.csr_dup(2).vsatp.mode), Mux(refill.req_info_dup(2).s2xlate === onlyStage1, !(memPte(2).isAf() || memPte(2).isStage1Gpf(io.csr_dup(2).vsatp.mode)), Mux(refill.req_info_dup(2).s2xlate === onlyStage2, !memPte(2).isGpf(refill.level_dup(2)), !memPte(2).isAf())))) { 7103ea4388cSHaoyuan Feng val refillIdx = replaceWrapper(l2v, ptwl2replace.way) 7113ea4388cSHaoyuan Feng refillIdx.suggestName(s"Ptwl2RefillIdx") 7123ea4388cSHaoyuan Feng val rfOH = UIntToOH(refillIdx) 7133ea4388cSHaoyuan Feng l2(refillIdx).refill( 7143ea4388cSHaoyuan Feng refill.req_info_dup(2).vpn, 7153ea4388cSHaoyuan Feng Mux(refill.req_info_dup(2).s2xlate =/= noS2xlate, io.csr_dup(2).vsatp.asid, io.csr_dup(2).satp.asid), 71697929664SXiaokun-Pei io.csr_dup(2).hgatp.vmid, 7173ea4388cSHaoyuan Feng memSelData(2), 7183ea4388cSHaoyuan Feng 2.U, 7193ea4388cSHaoyuan Feng refill_prefetch_dup(2) 7203ea4388cSHaoyuan Feng ) 7213ea4388cSHaoyuan Feng ptwl2replace.access(refillIdx) 7223ea4388cSHaoyuan Feng l2v := l2v | rfOH 7233ea4388cSHaoyuan Feng l2g := (l2g & ~rfOH) | Mux(memPte(2).perm.g, rfOH, 0.U) 7243ea4388cSHaoyuan Feng l2h(refillIdx) := refill_h(2) 7253ea4388cSHaoyuan Feng 7263ea4388cSHaoyuan Feng for (i <- 0 until l2tlbParams.l2Size) { 7273ea4388cSHaoyuan Feng l2RefillPerf(i) := i.U === refillIdx 7283ea4388cSHaoyuan Feng } 7293ea4388cSHaoyuan Feng 7303ea4388cSHaoyuan Feng XSDebug(p"[l2 refill] refillIdx:${refillIdx} refillEntry:${l2(refillIdx).genPtwEntry(refill.req_info_dup(2).vpn, Mux(refill.req_info_dup(2).s2xlate =/= noS2xlate, io.csr_dup(2).vsatp.asid, io.csr_dup(2).satp.asid), memSelData(2), 0.U, prefetch = refill_prefetch_dup(2))}\n") 7313ea4388cSHaoyuan Feng XSDebug(p"[l2 refill] l2v:${Binary(l2v)}->${Binary(l2v | rfOH)} l2g:${Binary(l2g)}->${Binary((l2g & ~rfOH) | Mux(memPte(2).perm.g, rfOH, 0.U))}\n") 7323ea4388cSHaoyuan Feng 7333ea4388cSHaoyuan Feng refillIdx.suggestName(s"l2_refillIdx") 7343ea4388cSHaoyuan Feng rfOH.suggestName(s"l2_rfOH") 7353ea4388cSHaoyuan Feng } 7363ea4388cSHaoyuan Feng 73797929664SXiaokun-Pei when (!flush_dup(1) && refill.levelOH.l1 && !memPte(1).isLeaf() && !memPte(1).isPf(refill.level_dup(1)) 738*0b1b8ed1SXiaokun-Pei && Mux(refill.req_info_dup(1).s2xlate === allStage, !memPte(1).isStage1Gpf(io.csr_dup(1).vsatp.mode), Mux(refill.req_info_dup(1).s2xlate === onlyStage1, !(memPte(1).isAf() || memPte(1).isStage1Gpf(io.csr_dup(1).vsatp.mode)), Mux(refill.req_info_dup(1).s2xlate === onlyStage2, !memPte(1).isGpf(refill.level_dup(1)), !memPte(1).isAf())))) { 7393ea4388cSHaoyuan Feng val refillIdx = genPtwL1SetIdx(refill.req_info_dup(1).vpn) 7403ea4388cSHaoyuan Feng val victimWay = replaceWrapper(getl1vSet(refill.req_info_dup(1).vpn), ptwl1replace.way(refillIdx)) 7416d5ddbceSLemover val victimWayOH = UIntToOH(victimWay) 7426d5ddbceSLemover val rfvOH = UIntToOH(Cat(refillIdx, victimWay)) 7433ea4388cSHaoyuan Feng val wdata = Wire(l1EntryType) 7443889e11eSLemover wdata.gen( 74582978df9Speixiaokun vpn = refill.req_info_dup(1).vpn, 746cca17e78Speixiaokun asid = Mux(refill.req_info_dup(1).s2xlate =/= noS2xlate, io.csr_dup(1).vsatp.asid, io.csr_dup(1).satp.asid), 74797929664SXiaokun-Pei vmid = io.csr_dup(1).hgatp.vmid, 74845f497a4Shappy-lx data = memRdata, 74945f497a4Shappy-lx levelUInt = 1.U, 7504ed5afbdSXiaokun-Pei refill_prefetch_dup(1), 7514ed5afbdSXiaokun-Pei refill.req_info_dup(1).s2xlate 75245f497a4Shappy-lx ) 7533ea4388cSHaoyuan Feng l1.io.w.apply( 7546d5ddbceSLemover valid = true.B, 7556d5ddbceSLemover setIdx = refillIdx, 7567196f5a2SLemover data = wdata, 7576d5ddbceSLemover waymask = victimWayOH 7586d5ddbceSLemover ) 7593ea4388cSHaoyuan Feng ptwl1replace.access(refillIdx, victimWay) 7603ea4388cSHaoyuan Feng l1v := l1v | rfvOH 7613ea4388cSHaoyuan Feng l1g := l1g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U) 7623ea4388cSHaoyuan Feng l1h(refillIdx)(victimWay) := refill_h(1) 7636d5ddbceSLemover 7643ea4388cSHaoyuan Feng for (i <- 0 until l2tlbParams.l1nWays) { 7653ea4388cSHaoyuan Feng l1RefillPerf(i) := i.U === victimWay 7666d5ddbceSLemover } 7676d5ddbceSLemover 7683ea4388cSHaoyuan Feng XSDebug(p"[l1 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n") 7693ea4388cSHaoyuan Feng XSDebug(p"[l1 refill] refilldata:0x${wdata}\n") 7703ea4388cSHaoyuan Feng XSDebug(p"[l1 refill] l1v:${Binary(l1v)} -> ${Binary(l1v | rfvOH)}\n") 7713ea4388cSHaoyuan Feng XSDebug(p"[l1 refill] l1g:${Binary(l1g)} -> ${Binary(l1g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n") 7726d5ddbceSLemover 7733ea4388cSHaoyuan Feng refillIdx.suggestName(s"l1_refillIdx") 7743ea4388cSHaoyuan Feng victimWay.suggestName(s"l1_victimWay") 7753ea4388cSHaoyuan Feng victimWayOH.suggestName(s"l1_victimWayOH") 7763ea4388cSHaoyuan Feng rfvOH.suggestName(s"l1_rfvOH") 7776d5ddbceSLemover } 7786d5ddbceSLemover 77997929664SXiaokun-Pei when (!flush_dup(0) && refill.levelOH.l0 780*0b1b8ed1SXiaokun-Pei && Mux(refill.req_info_dup(0).s2xlate === allStage, !memPte(0).isStage1Gpf(io.csr_dup(0).vsatp.mode), Mux(refill.req_info_dup(0).s2xlate === onlyStage1, !(memPte(0).isAf() || memPte(0).isStage1Gpf(io.csr_dup(0).vsatp.mode)), Mux(refill.req_info_dup(0).s2xlate === onlyStage2, !memPte(0).isGpf(refill.level_dup(0)), !memPte(0).isAf())))) { 7813ea4388cSHaoyuan Feng val refillIdx = genPtwL0SetIdx(refill.req_info_dup(0).vpn) 7823ea4388cSHaoyuan Feng val victimWay = replaceWrapper(getl0vSet(refill.req_info_dup(0).vpn), ptwl0replace.way(refillIdx)) 7836d5ddbceSLemover val victimWayOH = UIntToOH(victimWay) 7846d5ddbceSLemover val rfvOH = UIntToOH(Cat(refillIdx, victimWay)) 7853ea4388cSHaoyuan Feng val wdata = Wire(l0EntryType) 7863889e11eSLemover wdata.gen( 7873ea4388cSHaoyuan Feng vpn = refill.req_info_dup(0).vpn, 7883ea4388cSHaoyuan Feng asid = Mux(refill.req_info_dup(0).s2xlate =/= noS2xlate, io.csr_dup(0).vsatp.asid, io.csr_dup(0).satp.asid), 78997929664SXiaokun-Pei vmid = io.csr_dup(0).hgatp.vmid, 79045f497a4Shappy-lx data = memRdata, 7913ea4388cSHaoyuan Feng levelUInt = 0.U, 7923ea4388cSHaoyuan Feng refill_prefetch_dup(0), 7933ea4388cSHaoyuan Feng refill.req_info_dup(0).s2xlate 79445f497a4Shappy-lx ) 7953ea4388cSHaoyuan Feng l0.io.w.apply( 7966d5ddbceSLemover valid = true.B, 7976d5ddbceSLemover setIdx = refillIdx, 7987196f5a2SLemover data = wdata, 7996d5ddbceSLemover waymask = victimWayOH 8006d5ddbceSLemover ) 8013ea4388cSHaoyuan Feng ptwl0replace.access(refillIdx, victimWay) 8023ea4388cSHaoyuan Feng l0v := l0v | rfvOH 8033ea4388cSHaoyuan Feng l0g := l0g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U) 8043ea4388cSHaoyuan Feng l0h(refillIdx)(victimWay) := refill_h(0) 8056d5ddbceSLemover 8063ea4388cSHaoyuan Feng for (i <- 0 until l2tlbParams.l0nWays) { 8073ea4388cSHaoyuan Feng l0RefillPerf(i) := i.U === victimWay 8086d5ddbceSLemover } 8096d5ddbceSLemover 8103ea4388cSHaoyuan Feng XSDebug(p"[l0 refill] refillIdx:0x${Hexadecimal(refillIdx)} victimWay:${victimWay} victimWayOH:${Binary(victimWayOH)} rfvOH(in UInt):${Cat(refillIdx, victimWay)}\n") 8113ea4388cSHaoyuan Feng XSDebug(p"[l0 refill] refilldata:0x${wdata}\n") 8123ea4388cSHaoyuan Feng XSDebug(p"[l0 refill] l0v:${Binary(l0v)} -> ${Binary(l0v | rfvOH)}\n") 8133ea4388cSHaoyuan Feng XSDebug(p"[l0 refill] l0g:${Binary(l0g)} -> ${Binary(l0g & ~rfvOH | Mux(Cat(memPtes.map(_.perm.g)).andR, rfvOH, 0.U))}\n") 8146d5ddbceSLemover 8153ea4388cSHaoyuan Feng refillIdx.suggestName(s"l0_refillIdx") 8163ea4388cSHaoyuan Feng victimWay.suggestName(s"l0_victimWay") 8173ea4388cSHaoyuan Feng victimWayOH.suggestName(s"l0_victimWayOH") 8183ea4388cSHaoyuan Feng rfvOH.suggestName(s"l0_rfvOH") 8196d5ddbceSLemover } 8207797f035SbugGenerator 8218d8ac704SLemover 8228d8ac704SLemover // misc entries: super & invalid 823135df6a7SXiaokun-Pei when (!flush_dup(0) && refill.levelOH.sp && (memPte(0).isLeaf() || memPte(0).isPf(refill.level_dup(0))) 824*0b1b8ed1SXiaokun-Pei && Mux(refill.req_info_dup(0).s2xlate === allStage, !memPte(0).isStage1Gpf(io.csr_dup(0).vsatp.mode), Mux(refill.req_info_dup(0).s2xlate === onlyStage1, !(memPte(0).isAf() || memPte(0).isStage1Gpf(io.csr_dup(0).vsatp.mode)), Mux(refill.req_info_dup(0).s2xlate === onlyStage2, !memPte(0).isGpf(refill.level_dup(0)), !memPte(0).isAf())))) { 8255854c1edSLemover val refillIdx = spreplace.way// LFSR64()(log2Up(l2tlbParams.spSize)-1,0) // TODO: may be LRU 8266d5ddbceSLemover val rfOH = UIntToOH(refillIdx) 82745f497a4Shappy-lx sp(refillIdx).refill( 8287797f035SbugGenerator refill.req_info_dup(0).vpn, 829b188e334Speixiaokun Mux(refill.req_info_dup(0).s2xlate =/= noS2xlate, io.csr_dup(0).vsatp.asid, io.csr_dup(0).satp.asid), 83097929664SXiaokun-Pei io.csr_dup(0).hgatp.vmid, 8317797f035SbugGenerator memSelData(0), 8323ea4388cSHaoyuan Feng refill.level_dup(0), 8337797f035SbugGenerator refill_prefetch_dup(0), 8347797f035SbugGenerator !memPte(0).isPf(refill.level_dup(0)), 83545f497a4Shappy-lx ) 8366d5ddbceSLemover spreplace.access(refillIdx) 8376d5ddbceSLemover spv := spv | rfOH 8387797f035SbugGenerator spg := spg & ~rfOH | Mux(memPte(0).perm.g, rfOH, 0.U) 8394ed5afbdSXiaokun-Pei sph(refillIdx) := refill_h(0) 8406d5ddbceSLemover 8415854c1edSLemover for (i <- 0 until l2tlbParams.spSize) { 8426d5ddbceSLemover spRefillPerf(i) := i.U === refillIdx 8436d5ddbceSLemover } 8446d5ddbceSLemover 845b188e334Speixiaokun XSDebug(p"[sp refill] refillIdx:${refillIdx} refillEntry:${sp(refillIdx).genPtwEntry(refill.req_info_dup(0).vpn, Mux(refill.req_info_dup(0).s2xlate =/= noS2xlate, io.csr_dup(0).vsatp.asid, io.csr_dup(0).satp.asid), memSelData(0), refill.level_dup(0), refill_prefetch_dup(0))}\n") 8467797f035SbugGenerator XSDebug(p"[sp refill] spv:${Binary(spv)}->${Binary(spv | rfOH)} spg:${Binary(spg)}->${Binary(spg & ~rfOH | Mux(memPte(0).perm.g, rfOH, 0.U))}\n") 8476d5ddbceSLemover 8486d5ddbceSLemover refillIdx.suggestName(s"sp_refillIdx") 8496d5ddbceSLemover rfOH.suggestName(s"sp_rfOH") 8506d5ddbceSLemover } 8516d5ddbceSLemover 8523ea4388cSHaoyuan Feng val l1eccFlush = resp_res.l1.ecc && stageResp_valid_1cycle_dup(0) // RegNext(l1eccError, init = false.B) 8533ea4388cSHaoyuan Feng val l0eccFlush = resp_res.l0.ecc && stageResp_valid_1cycle_dup(1) // RegNext(l0eccError, init = false.B) 8546c4dcc2dSLemover val eccVpn = stageResp.bits.req_info.vpn 8557196f5a2SLemover 8563ea4388cSHaoyuan Feng XSError(l1eccFlush, "l2tlb.cache.l1 ecc error. Should not happen at sim stage") 8573ea4388cSHaoyuan Feng XSError(l0eccFlush, "l2tlb.cache.l0 ecc error. Should not happen at sim stage") 8583ea4388cSHaoyuan Feng when (l1eccFlush) { 8593ea4388cSHaoyuan Feng val flushSetIdxOH = UIntToOH(genPtwL1SetIdx(eccVpn)) 8603ea4388cSHaoyuan Feng val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l1nWays, a.asUInt) }).asUInt 8613ea4388cSHaoyuan Feng l1v := l1v & ~flushMask 8623ea4388cSHaoyuan Feng l1g := l1g & ~flushMask 8637196f5a2SLemover } 8647196f5a2SLemover 8653ea4388cSHaoyuan Feng when (l0eccFlush) { 8663ea4388cSHaoyuan Feng val flushSetIdxOH = UIntToOH(genPtwL0SetIdx(eccVpn)) 8673ea4388cSHaoyuan Feng val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l0nWays, a.asUInt) }).asUInt 8683ea4388cSHaoyuan Feng l0v := l0v & ~flushMask 8693ea4388cSHaoyuan Feng l0g := l0g & ~flushMask 8707196f5a2SLemover } 8717196f5a2SLemover 8723ea4388cSHaoyuan Feng // sfence for l0 8733ea4388cSHaoyuan Feng val sfence_valid_l0 = sfence_dup(0).valid && !sfence_dup(0).bits.hg && !sfence_dup(0).bits.hv 8743ea4388cSHaoyuan Feng when (sfence_valid_l0) { 8753ea4388cSHaoyuan Feng val l0hhit = VecInit(l0h.flatMap(_.map{a => io.csr_dup(0).priv.virt && a === onlyStage1 || !io.csr_dup(0).priv.virt && a === noS2xlate})).asUInt 8763ea4388cSHaoyuan Feng val sfence_vpn = sfence_dup(0).bits.addr(sfence_dup(0).bits.addr.getWidth-1, offLen) 8773ea4388cSHaoyuan Feng when (sfence_dup(0).bits.rs1/*va*/) { 8783ea4388cSHaoyuan Feng when (sfence_dup(0).bits.rs2) { 8797797f035SbugGenerator // all va && all asid 8803ea4388cSHaoyuan Feng l0v := l0v & ~l0hhit 8817797f035SbugGenerator } .otherwise { 8827797f035SbugGenerator // all va && specific asid except global 8833ea4388cSHaoyuan Feng l0v := l0v & (l0g | ~l0hhit) 8847797f035SbugGenerator } 8857797f035SbugGenerator } .otherwise { 8863ea4388cSHaoyuan Feng // val flushMask = UIntToOH(genTlbl1Idx(sfence.bits.addr(sfence.bits.addr.getWidth-1, offLen))) 8873ea4388cSHaoyuan Feng val flushSetIdxOH = UIntToOH(genPtwL0SetIdx(sfence_vpn)) 8883ea4388cSHaoyuan Feng // val flushMask = VecInit(flushSetIdxOH.asBools.map(Fill(l2tlbParams.l0nWays, _.asUInt))).asUInt 8893ea4388cSHaoyuan Feng val flushMask = VecInit(flushSetIdxOH.asBools.map { a => Fill(l2tlbParams.l0nWays, a.asUInt) }).asUInt 8907797f035SbugGenerator flushSetIdxOH.suggestName(s"sfence_nrs1_flushSetIdxOH") 8917797f035SbugGenerator flushMask.suggestName(s"sfence_nrs1_flushMask") 8927797f035SbugGenerator 8933ea4388cSHaoyuan Feng when (sfence_dup(0).bits.rs2) { 8947797f035SbugGenerator // specific leaf of addr && all asid 8953ea4388cSHaoyuan Feng l0v := l0v & ~flushMask & ~l0hhit 8967797f035SbugGenerator } .otherwise { 8977797f035SbugGenerator // specific leaf of addr && specific asid 8983ea4388cSHaoyuan Feng l0v := l0v & (~flushMask | l0g | ~l0hhit) 8997797f035SbugGenerator } 9007797f035SbugGenerator } 9017797f035SbugGenerator } 9027797f035SbugGenerator 9033ea4388cSHaoyuan Feng // hfencev, simple implementation for l0 9043ea4388cSHaoyuan Feng val hfencev_valid_l0 = sfence_dup(0).valid && sfence_dup(0).bits.hv 9053ea4388cSHaoyuan Feng when(hfencev_valid_l0) { 9063ea4388cSHaoyuan Feng val flushMask = VecInit(l0h.flatMap(_.map(_ === onlyStage1))).asUInt 9073ea4388cSHaoyuan Feng l0v := l0v & ~flushMask // all VS-stage l0 pte 908d0de7e4aSpeixiaokun } 909d0de7e4aSpeixiaokun 9103ea4388cSHaoyuan Feng // hfenceg, simple implementation for l0 9113ea4388cSHaoyuan Feng val hfenceg_valid_l0 = sfence_dup(0).valid && sfence_dup(0).bits.hg 9123ea4388cSHaoyuan Feng when(hfenceg_valid_l0) { 9133ea4388cSHaoyuan Feng val flushMask = VecInit(l0h.flatMap(_.map(_ === onlyStage2))).asUInt 9143ea4388cSHaoyuan Feng l0v := l0v & ~flushMask // all G-stage l0 pte 915d0de7e4aSpeixiaokun } 916d0de7e4aSpeixiaokun 9173ea4388cSHaoyuan Feng val l2asidhit = VecInit(l2asids.map(_ === sfence_dup(2).bits.id)).asUInt 918d0de7e4aSpeixiaokun val spasidhit = VecInit(spasids.map(_ === sfence_dup(0).bits.id)).asUInt 919d0de7e4aSpeixiaokun val sfence_valid = sfence_dup(0).valid && !sfence_dup(0).bits.hg && !sfence_dup(0).bits.hv 920d0de7e4aSpeixiaokun when (sfence_valid) { 92197929664SXiaokun-Pei val l2vmidhit = VecInit(l2vmids.map(_.getOrElse(0.U) === io.csr_dup(2).hgatp.vmid)).asUInt 92297929664SXiaokun-Pei val spvmidhit = VecInit(spvmids.map(_.getOrElse(0.U) === io.csr_dup(0).hgatp.vmid)).asUInt 9233ea4388cSHaoyuan Feng val l2hhit = VecInit(l2h.map{a => io.csr_dup(2).priv.virt && a === onlyStage1 || !io.csr_dup(2).priv.virt && a === noS2xlate}).asUInt 924e5da58f0Speixiaokun val sphhit = VecInit(sph.map{a => io.csr_dup(0).priv.virt && a === onlyStage1 || !io.csr_dup(0).priv.virt && a === noS2xlate}).asUInt 9253ea4388cSHaoyuan Feng val l1hhit = VecInit(l1h.flatMap(_.map{a => io.csr_dup(1).priv.virt && a === onlyStage1 || !io.csr_dup(1).priv.virt && a === noS2xlate})).asUInt 9267797f035SbugGenerator val sfence_vpn = sfence_dup(0).bits.addr(sfence_dup(0).bits.addr.getWidth-1, offLen) 9277797f035SbugGenerator 9287797f035SbugGenerator when (sfence_dup(0).bits.rs1/*va*/) { 9297797f035SbugGenerator when (sfence_dup(0).bits.rs2) { 9306d5ddbceSLemover // all va && all asid 9313ea4388cSHaoyuan Feng l1v := l1v & ~l1hhit 9323ea4388cSHaoyuan Feng l2v := l2v & ~(l2hhit & VecInit(l2vmidhit.asBools.map{a => io.csr_dup(2).priv.virt && a || !io.csr_dup(2).priv.virt}).asUInt) 933447c794eSpeixiaokun spv := spv & ~(sphhit & VecInit(spvmidhit.asBools.map{a => io.csr_dup(0).priv.virt && a || !io.csr_dup(0).priv.virt}).asUInt) 9346d5ddbceSLemover } .otherwise { 9356d5ddbceSLemover // all va && specific asid except global 9363ea4388cSHaoyuan Feng l1v := l1v & (l1g | ~l1hhit) 9373ea4388cSHaoyuan Feng l2v := l2v & ~(~l2g & l2hhit & l2asidhit & VecInit(l2vmidhit.asBools.map{a => io.csr_dup(2).priv.virt && a || !io.csr_dup(2).priv.virt}).asUInt) 9385f64f303Speixiaokun spv := spv & ~(~spg & sphhit & spasidhit & VecInit(spvmidhit.asBools.map{a => io.csr_dup(0).priv.virt && a || !io.csr_dup(0).priv.virt}).asUInt) 9396d5ddbceSLemover } 9406d5ddbceSLemover } .otherwise { 9417797f035SbugGenerator when (sfence_dup(0).bits.rs2) { 9426d5ddbceSLemover // specific leaf of addr && all asid 94397929664SXiaokun-Pei spv := spv & ~(sphhit & VecInit(sp.map(_.hit(sfence_vpn, sfence_dup(0).bits.id, sfence_dup(0).bits.id, io.csr_dup(0).hgatp.vmid, ignoreAsid = true, s2xlate = io.csr_dup(0).priv.virt))).asUInt) 9446d5ddbceSLemover } .otherwise { 9456d5ddbceSLemover // specific leaf of addr && specific asid 94697929664SXiaokun-Pei spv := spv & ~(~spg & sphhit & VecInit(sp.map(_.hit(sfence_vpn, sfence_dup(0).bits.id, sfence_dup(0).bits.id, io.csr_dup(0).hgatp.vmid, s2xlate = io.csr_dup(0).priv.virt))).asUInt) 947d0de7e4aSpeixiaokun } 948d0de7e4aSpeixiaokun } 949d0de7e4aSpeixiaokun } 950d0de7e4aSpeixiaokun 951d0de7e4aSpeixiaokun val hfencev_valid = sfence_dup(0).valid && sfence_dup(0).bits.hv 952d0de7e4aSpeixiaokun when (hfencev_valid) { 95397929664SXiaokun-Pei val l2vmidhit = VecInit(l2vmids.map(_.getOrElse(0.U) === io.csr_dup(2).hgatp.vmid)).asUInt 95497929664SXiaokun-Pei val spvmidhit = VecInit(spvmids.map(_.getOrElse(0.U) === io.csr_dup(0).hgatp.vmid)).asUInt 9553ea4388cSHaoyuan Feng val l2hhit = VecInit(l2h.map(_ === onlyStage1)).asUInt 956cca17e78Speixiaokun val sphhit = VecInit(sph.map(_ === onlyStage1)).asUInt 9573ea4388cSHaoyuan Feng val l1hhit = VecInit(l1h.flatMap(_.map(_ === onlyStage1))).asUInt 958d0de7e4aSpeixiaokun val hfencev_vpn = sfence_dup(0).bits.addr(sfence_dup(0).bits.addr.getWidth-1, offLen) 959d0de7e4aSpeixiaokun when(sfence_dup(0).bits.rs1) { 960d0de7e4aSpeixiaokun when(sfence_dup(0).bits.rs2) { 9613ea4388cSHaoyuan Feng l1v := l1v & ~l1hhit 9623ea4388cSHaoyuan Feng l2v := l2v & ~(l2hhit & l2vmidhit) 963447c794eSpeixiaokun spv := spv & ~(sphhit & spvmidhit) 964d0de7e4aSpeixiaokun }.otherwise { 9653ea4388cSHaoyuan Feng l1v := l1v & (l1g | ~l1hhit) 9663ea4388cSHaoyuan Feng l2v := l2v & ~(~l2g & l2hhit & l2asidhit & l2vmidhit) 967d0de7e4aSpeixiaokun spv := spv & ~(~spg & sphhit & spasidhit & spvmidhit) 968d0de7e4aSpeixiaokun } 969d0de7e4aSpeixiaokun }.otherwise { 970d0de7e4aSpeixiaokun when(sfence_dup(0).bits.rs2) { 97197929664SXiaokun-Pei spv := spv & ~(sphhit & VecInit(sp.map(_.hit(hfencev_vpn, sfence_dup(0).bits.id, sfence_dup(0).bits.id, io.csr_dup(0).hgatp.vmid, ignoreAsid = true, s2xlate = true.B))).asUInt) 972d0de7e4aSpeixiaokun }.otherwise { 97397929664SXiaokun-Pei spv := spv & ~(~spg & sphhit & VecInit(sp.map(_.hit(hfencev_vpn, sfence_dup(0).bits.id, sfence_dup(0).bits.id, io.csr_dup(0).hgatp.vmid, s2xlate = true.B))).asUInt) 974d0de7e4aSpeixiaokun } 975d0de7e4aSpeixiaokun } 976d0de7e4aSpeixiaokun } 977d0de7e4aSpeixiaokun 978d0de7e4aSpeixiaokun 979d0de7e4aSpeixiaokun val hfenceg_valid = sfence_dup(0).valid && sfence_dup(0).bits.hg 980d0de7e4aSpeixiaokun when(hfenceg_valid) { 9813ea4388cSHaoyuan Feng val l2vmidhit = VecInit(l2vmids.map(_.getOrElse(0.U) === sfence_dup(2).bits.id)).asUInt 982cca17e78Speixiaokun val spvmidhit = VecInit(spvmids.map(_.getOrElse(0.U) === sfence_dup(0).bits.id)).asUInt 9833ea4388cSHaoyuan Feng val l2hhit = VecInit(l2h.map(_ === onlyStage2)).asUInt 984cca17e78Speixiaokun val sphhit = VecInit(sph.map(_ === onlyStage2)).asUInt 9853ea4388cSHaoyuan Feng val l1hhit = VecInit(l1h.flatMap(_.map(_ === onlyStage2))).asUInt 986887df0f4Speixiaokun val hfenceg_gvpn = (sfence_dup(0).bits.addr << 2)(sfence_dup(0).bits.addr.getWidth - 1, offLen) 987d0de7e4aSpeixiaokun when(sfence_dup(0).bits.rs1) { 988d0de7e4aSpeixiaokun when(sfence_dup(0).bits.rs2) { 989d0de7e4aSpeixiaokun l1v := l1v & ~l1hhit 9903ea4388cSHaoyuan Feng l2v := l2v & ~l2hhit 991d0de7e4aSpeixiaokun spv := spv & ~sphhit 992d0de7e4aSpeixiaokun }.otherwise { 9933ea4388cSHaoyuan Feng l1v := l1v & ~l1hhit 9943ea4388cSHaoyuan Feng l2v := l2v & ~(l2hhit & l2vmidhit) 995d0de7e4aSpeixiaokun spv := spv & ~(sphhit & spvmidhit) 996d0de7e4aSpeixiaokun } 997d0de7e4aSpeixiaokun }.otherwise { 998d0de7e4aSpeixiaokun when(sfence_dup(0).bits.rs2) { 9998fe4f15fSXiaokun-Pei spv := spv & ~(sphhit & VecInit(sp.map(_.hit(hfenceg_gvpn, 0.U, 0.U, sfence_dup(0).bits.id, ignoreAsid = true, s2xlate = false.B))).asUInt) 1000d0de7e4aSpeixiaokun }.otherwise { 10018fe4f15fSXiaokun-Pei spv := spv & ~(~spg & sphhit & VecInit(sp.map(_.hit(hfenceg_gvpn, 0.U, 0.U, sfence_dup(0).bits.id, ignoreAsid = true, s2xlate = true.B))).asUInt) 10026d5ddbceSLemover } 10036d5ddbceSLemover } 10046d5ddbceSLemover } 10056d5ddbceSLemover 10063ea4388cSHaoyuan Feng if (EnableSv48) { 10073ea4388cSHaoyuan Feng val l3asidhit = VecInit(l3asids.get.map(_ === sfence_dup(2).bits.id)).asUInt 100897929664SXiaokun-Pei val l3vmidhit = VecInit(l3vmids.get.map(_.getOrElse(0.U) === io.csr_dup(2).hgatp.vmid)).asUInt 10093ea4388cSHaoyuan Feng val l3hhit = VecInit(l3h.get.map{a => io.csr_dup(2).priv.virt && a === onlyStage1 || !io.csr_dup(2).priv.virt && a === noS2xlate}).asUInt 10103ea4388cSHaoyuan Feng 10113ea4388cSHaoyuan Feng when (sfence_valid) { 101297929664SXiaokun-Pei val l3vmidhit = VecInit(l3vmids.get.map(_.getOrElse(0.U) === io.csr_dup(2).hgatp.vmid)).asUInt 10133ea4388cSHaoyuan Feng val l3hhit = VecInit(l3h.get.map{a => io.csr_dup(2).priv.virt && a === onlyStage1 || !io.csr_dup(2).priv.virt && a === noS2xlate}).asUInt 10143ea4388cSHaoyuan Feng val sfence_vpn = sfence_dup(2).bits.addr(sfence_dup(2).bits.addr.getWidth-1, offLen) 10153ea4388cSHaoyuan Feng 10163ea4388cSHaoyuan Feng when (sfence_dup(2).bits.rs1/*va*/) { 10173ea4388cSHaoyuan Feng when (sfence_dup(2).bits.rs2) { 10183ea4388cSHaoyuan Feng // all va && all asid 10193ea4388cSHaoyuan Feng l3v.map(_ := l3v.get & ~(l3hhit & VecInit(l3vmidhit.asBools.map{a => io.csr_dup(2).priv.virt && a || !io.csr_dup(2).priv.virt}).asUInt)) 10203ea4388cSHaoyuan Feng } .otherwise { 10213ea4388cSHaoyuan Feng // all va && specific asid except global 10223ea4388cSHaoyuan Feng l3v.map(_ := l3v.get & ~(~l3g.get & l3hhit & l3asidhit & VecInit(l3vmidhit.asBools.map{a => io.csr_dup(2).priv.virt && a || !io.csr_dup(2).priv.virt}).asUInt)) 10233ea4388cSHaoyuan Feng } 10243ea4388cSHaoyuan Feng } 10253ea4388cSHaoyuan Feng } 10263ea4388cSHaoyuan Feng 10273ea4388cSHaoyuan Feng when (hfencev_valid) { 102897929664SXiaokun-Pei val l3vmidhit = VecInit(l3vmids.get.map(_.getOrElse(0.U) === io.csr_dup(2).hgatp.vmid)).asUInt 10293ea4388cSHaoyuan Feng val l3hhit = VecInit(l3h.get.map(_ === onlyStage1)).asUInt 10303ea4388cSHaoyuan Feng val hfencev_vpn = sfence_dup(2).bits.addr(sfence_dup(2).bits.addr.getWidth-1, offLen) 10313ea4388cSHaoyuan Feng when(sfence_dup(2).bits.rs1) { 10323ea4388cSHaoyuan Feng when(sfence_dup(2).bits.rs2) { 10333ea4388cSHaoyuan Feng l3v.map(_ := l3v.get & ~(l3hhit & l3vmidhit)) 10343ea4388cSHaoyuan Feng }.otherwise { 10353ea4388cSHaoyuan Feng l3v.map(_ := l3v.get & ~(~l3g.get & l3hhit & l3asidhit & l3vmidhit)) 10363ea4388cSHaoyuan Feng } 10373ea4388cSHaoyuan Feng } 10383ea4388cSHaoyuan Feng } 10393ea4388cSHaoyuan Feng 10403ea4388cSHaoyuan Feng when (hfenceg_valid) { 10413ea4388cSHaoyuan Feng val l3vmidhit = VecInit(l3vmids.get.map(_.getOrElse(0.U) === sfence_dup(2).bits.id)).asUInt 10423ea4388cSHaoyuan Feng val l3hhit = VecInit(l3h.get.map(_ === onlyStage2)).asUInt 10433ea4388cSHaoyuan Feng val hfenceg_gvpn = (sfence_dup(2).bits.addr << 2)(sfence_dup(2).bits.addr.getWidth - 1, offLen) 10443ea4388cSHaoyuan Feng when(sfence_dup(2).bits.rs1) { 10453ea4388cSHaoyuan Feng when(sfence_dup(2).bits.rs2) { 10463ea4388cSHaoyuan Feng l3v.map(_ := l3v.get & ~l3hhit) 10473ea4388cSHaoyuan Feng }.otherwise { 10483ea4388cSHaoyuan Feng l3v.map(_ := l3v.get & ~(l3hhit & l3vmidhit)) 10493ea4388cSHaoyuan Feng } 10503ea4388cSHaoyuan Feng } 10513ea4388cSHaoyuan Feng } 10523ea4388cSHaoyuan Feng } 10533ea4388cSHaoyuan Feng 10547797f035SbugGenerator def InsideStageConnect(in: DecoupledIO[PtwCacheReq], out: DecoupledIO[PtwCacheReq], inFire: Bool): Unit = { 10552c86e165SZhangZifei in.ready := !in.valid || out.ready 10562c86e165SZhangZifei out.valid := in.valid 10572c86e165SZhangZifei out.bits := in.bits 10581f4a7c0cSLemover out.bits.bypassed.zip(in.bits.bypassed).zipWithIndex.map{ case (b, i) => 10597797f035SbugGenerator val bypassed_reg = Reg(Bool()) 1060d0de7e4aSpeixiaokun val bypassed_wire = refill_bypass(in.bits.req_info.vpn, i, in.bits.req_info.s2xlate) && io.refill.valid 10617797f035SbugGenerator when (inFire) { bypassed_reg := bypassed_wire } 10627797f035SbugGenerator .elsewhen (io.refill.valid) { bypassed_reg := bypassed_reg || bypassed_wire } 10637797f035SbugGenerator 10647797f035SbugGenerator b._1 := b._2 || (bypassed_wire || (bypassed_reg && !inFire)) 10651f4a7c0cSLemover } 10662c86e165SZhangZifei } 10672c86e165SZhangZifei 10686d5ddbceSLemover // Perf Count 10693ea4388cSHaoyuan Feng val resp_l0 = resp_res.l0.hit 10706c4dcc2dSLemover val resp_sp = resp_res.sp.hit 10713ea4388cSHaoyuan Feng val resp_l3_pre = if (EnableSv48) Some(resp_res.l3.get.pre) else None 10726c4dcc2dSLemover val resp_l2_pre = resp_res.l2.pre 10733ea4388cSHaoyuan Feng val resp_l1_pre = resp_res.l1.pre 10743ea4388cSHaoyuan Feng val resp_l0_pre = resp_res.l0.pre 10756c4dcc2dSLemover val resp_sp_pre = resp_res.sp.pre 1076935edac4STang Haojin val base_valid_access_0 = !from_pre(io.resp.bits.req_info.source) && io.resp.fire 1077bc063562SLemover XSPerfAccumulate("access", base_valid_access_0) 10783ea4388cSHaoyuan Feng if (EnableSv48) { 10793ea4388cSHaoyuan Feng XSPerfAccumulate("l3_hit", base_valid_access_0 && io.resp.bits.toFsm.l3Hit.get && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 10803ea4388cSHaoyuan Feng } 10813ea4388cSHaoyuan Feng XSPerfAccumulate("l2_hit", base_valid_access_0 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 10823ea4388cSHaoyuan Feng XSPerfAccumulate("l1_hit", base_valid_access_0 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 10833ea4388cSHaoyuan Feng XSPerfAccumulate("l0_hit", base_valid_access_0 && resp_l0) 1084bc063562SLemover XSPerfAccumulate("sp_hit", base_valid_access_0 && resp_sp) 1085bc063562SLemover XSPerfAccumulate("pte_hit",base_valid_access_0 && io.resp.bits.hit) 1086bc063562SLemover 10873ea4388cSHaoyuan Feng if (EnableSv48) { 10883ea4388cSHaoyuan Feng XSPerfAccumulate("l3_hit_pre", base_valid_access_0 && resp_l3_pre.get && io.resp.bits.toFsm.l3Hit.get && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 10893ea4388cSHaoyuan Feng } 10903ea4388cSHaoyuan Feng XSPerfAccumulate("l2_hit_pre", base_valid_access_0 && resp_l2_pre && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 10913ea4388cSHaoyuan Feng XSPerfAccumulate("l1_hit_pre", base_valid_access_0 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 10923ea4388cSHaoyuan Feng XSPerfAccumulate("l0_hit_pre", base_valid_access_0 && resp_l0_pre && resp_l0) 1093bc063562SLemover XSPerfAccumulate("sp_hit_pre", base_valid_access_0 && resp_sp_pre && resp_sp) 10943ea4388cSHaoyuan Feng XSPerfAccumulate("pte_hit_pre",base_valid_access_0 && (resp_l0_pre && resp_l0 || resp_sp_pre && resp_sp) && io.resp.bits.hit) 1095bc063562SLemover 1096935edac4STang Haojin val base_valid_access_1 = from_pre(io.resp.bits.req_info.source) && io.resp.fire 1097bc063562SLemover XSPerfAccumulate("pre_access", base_valid_access_1) 10983ea4388cSHaoyuan Feng if (EnableSv48) { 10993ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l3_hit", base_valid_access_1 && io.resp.bits.toFsm.l3Hit.get && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11003ea4388cSHaoyuan Feng } 11013ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l2_hit", base_valid_access_1 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11023ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l1_hit", base_valid_access_1 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11033ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l0_hit", base_valid_access_1 && resp_l0) 1104bc063562SLemover XSPerfAccumulate("pre_sp_hit", base_valid_access_1 && resp_sp) 1105bc063562SLemover XSPerfAccumulate("pre_pte_hit",base_valid_access_1 && io.resp.bits.hit) 1106bc063562SLemover 11073ea4388cSHaoyuan Feng if (EnableSv48) { 11083ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l3_hit_pre", base_valid_access_1 && resp_l3_pre.get && io.resp.bits.toFsm.l3Hit.get && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11093ea4388cSHaoyuan Feng } 11103ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l2_hit_pre", base_valid_access_1 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11113ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l1_hit_pre", base_valid_access_1 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11123ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l0_hit_pre", base_valid_access_1 && resp_l0_pre && resp_l0) 1113bc063562SLemover XSPerfAccumulate("pre_sp_hit_pre", base_valid_access_1 && resp_sp_pre && resp_sp) 11143ea4388cSHaoyuan Feng XSPerfAccumulate("pre_pte_hit_pre",base_valid_access_1 && (resp_l0_pre && resp_l0 || resp_sp_pre && resp_sp) && io.resp.bits.hit) 1115bc063562SLemover 1116935edac4STang Haojin val base_valid_access_2 = stageResp.bits.isFirst && !from_pre(io.resp.bits.req_info.source) && io.resp.fire 1117bc063562SLemover XSPerfAccumulate("access_first", base_valid_access_2) 11183ea4388cSHaoyuan Feng if (EnableSv48) { 11193ea4388cSHaoyuan Feng XSPerfAccumulate("l3_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l3Hit.get && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11203ea4388cSHaoyuan Feng } 11213ea4388cSHaoyuan Feng XSPerfAccumulate("l2_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11223ea4388cSHaoyuan Feng XSPerfAccumulate("l1_hit_first", base_valid_access_2 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11233ea4388cSHaoyuan Feng XSPerfAccumulate("l0_hit_first", base_valid_access_2 && resp_l0) 1124bc063562SLemover XSPerfAccumulate("sp_hit_first", base_valid_access_2 && resp_sp) 1125bc063562SLemover XSPerfAccumulate("pte_hit_first",base_valid_access_2 && io.resp.bits.hit) 1126bc063562SLemover 11273ea4388cSHaoyuan Feng if (EnableSv48) { 11283ea4388cSHaoyuan Feng XSPerfAccumulate("l3_hit_pre_first", base_valid_access_2 && resp_l3_pre.get && io.resp.bits.toFsm.l3Hit.get && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11293ea4388cSHaoyuan Feng } 11303ea4388cSHaoyuan Feng XSPerfAccumulate("l2_hit_pre_first", base_valid_access_2 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11313ea4388cSHaoyuan Feng XSPerfAccumulate("l1_hit_pre_first", base_valid_access_2 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11323ea4388cSHaoyuan Feng XSPerfAccumulate("l0_hit_pre_first", base_valid_access_2 && resp_l0_pre && resp_l0) 1133bc063562SLemover XSPerfAccumulate("sp_hit_pre_first", base_valid_access_2 && resp_sp_pre && resp_sp) 11343ea4388cSHaoyuan Feng XSPerfAccumulate("pte_hit_pre_first",base_valid_access_2 && (resp_l0_pre && resp_l0 || resp_sp_pre && resp_sp) && io.resp.bits.hit) 1135bc063562SLemover 1136935edac4STang Haojin val base_valid_access_3 = stageResp.bits.isFirst && from_pre(io.resp.bits.req_info.source) && io.resp.fire 1137bc063562SLemover XSPerfAccumulate("pre_access_first", base_valid_access_3) 11383ea4388cSHaoyuan Feng if (EnableSv48) { 11393ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l3_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l3Hit.get && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11403ea4388cSHaoyuan Feng } 11413ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l2_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11423ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l1_hit_first", base_valid_access_3 && io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11433ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l0_hit_first", base_valid_access_3 && resp_l0) 1144bc063562SLemover XSPerfAccumulate("pre_sp_hit_first", base_valid_access_3 && resp_sp) 1145bc063562SLemover XSPerfAccumulate("pre_pte_hit_first", base_valid_access_3 && io.resp.bits.hit) 1146bc063562SLemover 11473ea4388cSHaoyuan Feng if (EnableSv48) { 11483ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l3_hit_pre_first", base_valid_access_3 && resp_l3_pre.get && io.resp.bits.toFsm.l3Hit.get && !io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11493ea4388cSHaoyuan Feng } 11503ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l2_hit_pre_first", base_valid_access_3 && resp_l2_pre && io.resp.bits.toFsm.l2Hit && !io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11513ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l1_hit_pre_first", base_valid_access_3 && resp_l1_pre && io.resp.bits.toFsm.l1Hit && !io.resp.bits.hit) 11523ea4388cSHaoyuan Feng XSPerfAccumulate("pre_l0_hit_pre_first", base_valid_access_3 && resp_l0_pre && resp_l0) 1153bc063562SLemover XSPerfAccumulate("pre_sp_hit_pre_first", base_valid_access_3 && resp_sp_pre && resp_sp) 11543ea4388cSHaoyuan Feng XSPerfAccumulate("pre_pte_hit_pre_first",base_valid_access_3 && (resp_l0_pre && resp_l0 || resp_sp_pre && resp_sp) && io.resp.bits.hit) 1155bc063562SLemover 11566d5ddbceSLemover XSPerfAccumulate("rwHarzad", io.req.valid && !io.req.ready) 11576d5ddbceSLemover XSPerfAccumulate("out_blocked", io.resp.valid && !io.resp.ready) 11583ea4388cSHaoyuan Feng if (EnableSv48) { 11593ea4388cSHaoyuan Feng l3AccessPerf.get.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"l3AccessIndex${i}", l) } 11603ea4388cSHaoyuan Feng } 11613ea4388cSHaoyuan Feng l2AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"l2AccessIndex${i}", l) } 11623ea4388cSHaoyuan Feng l1AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"l1AccessIndex${i}", l) } 11633ea4388cSHaoyuan Feng l0AccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"l0AccessIndex${i}", l) } 11646d5ddbceSLemover spAccessPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPAccessIndex${i}", l) } 11653ea4388cSHaoyuan Feng if (EnableSv48) { 11663ea4388cSHaoyuan Feng l3RefillPerf.get.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"l3RefillIndex${i}", l) } 11673ea4388cSHaoyuan Feng } 11683ea4388cSHaoyuan Feng l2RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"l2RefillIndex${i}", l) } 11693ea4388cSHaoyuan Feng l1RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"l1RefillIndex${i}", l) } 11703ea4388cSHaoyuan Feng l0RefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"l0RefillIndex${i}", l) } 11716d5ddbceSLemover spRefillPerf.zipWithIndex.map{ case (l, i) => XSPerfAccumulate(s"SPRefillIndex${i}", l) } 11726d5ddbceSLemover 11733ea4388cSHaoyuan Feng if (EnableSv48) { 11743ea4388cSHaoyuan Feng XSPerfAccumulate("l3Refill", Cat(l3RefillPerf.get).orR) 11753ea4388cSHaoyuan Feng } 1176bc063562SLemover XSPerfAccumulate("l2Refill", Cat(l2RefillPerf).orR) 11773ea4388cSHaoyuan Feng XSPerfAccumulate("l1Refill", Cat(l1RefillPerf).orR) 11783ea4388cSHaoyuan Feng XSPerfAccumulate("l0Refill", Cat(l0RefillPerf).orR) 1179bc063562SLemover XSPerfAccumulate("spRefill", Cat(spRefillPerf).orR) 11803ea4388cSHaoyuan Feng if (EnableSv48) { 11813ea4388cSHaoyuan Feng XSPerfAccumulate("l3Refill_pre", Cat(l3RefillPerf.get).orR && refill_prefetch_dup(0)) 11823ea4388cSHaoyuan Feng } 11837797f035SbugGenerator XSPerfAccumulate("l2Refill_pre", Cat(l2RefillPerf).orR && refill_prefetch_dup(0)) 11843ea4388cSHaoyuan Feng XSPerfAccumulate("l1Refill_pre", Cat(l1RefillPerf).orR && refill_prefetch_dup(0)) 11853ea4388cSHaoyuan Feng XSPerfAccumulate("l0Refill_pre", Cat(l0RefillPerf).orR && refill_prefetch_dup(0)) 11867797f035SbugGenerator XSPerfAccumulate("spRefill_pre", Cat(spRefillPerf).orR && refill_prefetch_dup(0)) 1187bc063562SLemover 11886d5ddbceSLemover // debug 11897797f035SbugGenerator XSDebug(sfence_dup(0).valid, p"[sfence] original v and g vector:\n") 11903ea4388cSHaoyuan Feng if (EnableSv48) { 11913ea4388cSHaoyuan Feng XSDebug(sfence_dup(0).valid, p"[sfence] l3v:${Binary(l3v.get)}\n") 11923ea4388cSHaoyuan Feng } 11937797f035SbugGenerator XSDebug(sfence_dup(0).valid, p"[sfence] l2v:${Binary(l2v)}\n") 11943ea4388cSHaoyuan Feng XSDebug(sfence_dup(0).valid, p"[sfence] l1v:${Binary(l1v)}\n") 11953ea4388cSHaoyuan Feng XSDebug(sfence_dup(0).valid, p"[sfence] l0v:${Binary(l0v)}\n") 11963ea4388cSHaoyuan Feng XSDebug(sfence_dup(0).valid, p"[sfence] l0g:${Binary(l0g)}\n") 11977797f035SbugGenerator XSDebug(sfence_dup(0).valid, p"[sfence] spv:${Binary(spv)}\n") 11987797f035SbugGenerator XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] new v and g vector:\n") 11993ea4388cSHaoyuan Feng if (EnableSv48) { 12003ea4388cSHaoyuan Feng XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] l3v:${Binary(l3v.get)}\n") 12013ea4388cSHaoyuan Feng } 12027797f035SbugGenerator XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] l2v:${Binary(l2v)}\n") 12033ea4388cSHaoyuan Feng XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] l1v:${Binary(l1v)}\n") 12043ea4388cSHaoyuan Feng XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] l0v:${Binary(l0v)}\n") 12053ea4388cSHaoyuan Feng XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] l0g:${Binary(l0g)}\n") 12067797f035SbugGenerator XSDebug(RegNext(sfence_dup(0).valid), p"[sfence] spv:${Binary(spv)}\n") 1207cd365d4cSrvcoresjw 1208cd365d4cSrvcoresjw val perfEvents = Seq( 120956be8e20SYinan Xu ("access ", base_valid_access_0 ), 1210cd365d4cSrvcoresjw ("l2_hit ", l2Hit ), 12113ea4388cSHaoyuan Feng ("l1_hit ", l1Hit ), 12123ea4388cSHaoyuan Feng ("l0_hit ", l0Hit ), 1213cd365d4cSrvcoresjw ("sp_hit ", spHit ), 12143ea4388cSHaoyuan Feng ("pte_hit ", l0Hit || spHit ), 1215cd365d4cSrvcoresjw ("rwHarzad ", io.req.valid && !io.req.ready ), 1216cd365d4cSrvcoresjw ("out_blocked ", io.resp.valid && !io.resp.ready), 1217cd365d4cSrvcoresjw ) 12181ca0e4f3SYinan Xu generatePerfEvent() 12196d5ddbceSLemover} 1220