1/*************************************************************************************** 2* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC) 3* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences 4* Copyright (c) 2020-2021 Peng Cheng Laboratory 5* 6* XiangShan is licensed under Mulan PSL v2. 7* You can use this software according to the terms and conditions of the Mulan PSL v2. 8* You may obtain a copy of Mulan PSL v2 at: 9* http://license.coscl.org.cn/MulanPSL2 10* 11* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 12* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 13* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 14* 15* See the Mulan PSL v2 for more details. 16***************************************************************************************/ 17 18package xiangshan.cache.mmu 19 20import org.chipsalliance.cde.config.Parameters 21import chisel3._ 22import chisel3.util._ 23import xiangshan._ 24import xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 25import utils._ 26import utility._ 27import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 28import freechips.rocketchip.tilelink._ 29 30 31case class TLBParameters 32( 33 name: String = "none", 34 fetchi: Boolean = false, // TODO: remove it 35 fenceDelay: Int = 2, 36 useDmode: Boolean = true, 37 NSets: Int = 1, 38 NWays: Int = 2, 39 Replacer: Option[String] = Some("plru"), 40 Associative: String = "fa", // must be fa 41 outReplace: Boolean = false, 42 partialStaticPMP: Boolean = false, // partial static pmp result stored in entries 43 outsideRecvFlush: Boolean = false, // if outside moudle waiting for tlb recv flush pipe 44 saveLevel: Boolean = false, 45 lgMaxSize: Int = 3 46) 47 48case class L2TLBParameters 49( 50 name: String = "l2tlb", 51 // l3 52 l3Size: Int = 16, 53 l3Associative: String = "fa", 54 l3Replacer: Option[String] = Some("plru"), 55 // l2 56 l2Size: Int = 16, 57 l2Associative: String = "fa", 58 l2Replacer: Option[String] = Some("plru"), 59 // l1 60 l1nSets: Int = 8, 61 l1nWays: Int = 4, 62 l1ReservedBits: Int = 10, 63 l1Replacer: Option[String] = Some("setplru"), 64 // l0 65 l0nSets: Int = 32, 66 l0nWays: Int = 8, 67 l0ReservedBits: Int = 3, 68 l0Replacer: Option[String] = Some("setplru"), 69 // sp 70 spSize: Int = 16, 71 spReplacer: Option[String] = Some("plru"), 72 // filter 73 ifilterSize: Int = 8, 74 dfilterSize: Int = 32, 75 // miss queue, add more entries than 'must require' 76 // 0 for easier bug trigger, please set as big as u can, 8 maybe 77 missqueueExtendSize: Int = 0, 78 // llptw 79 llptwsize: Int = 6, 80 // way size 81 blockBytes: Int = 64, 82 // prefetch 83 enablePrefetch: Boolean = true, 84 // ecc 85 ecc: Option[String] = Some("secded"), 86 // enable ecc 87 enablePTWECC: Boolean = false 88) 89 90trait HasTlbConst extends HasXSParameter { 91 val Level = if (EnableSv48) 3 else 2 92 93 val offLen = 12 94 val ppnLen = PAddrBits - offLen 95 val vpnnLen = 9 96 val extendVpnnBits = if (HasHExtension) 2 else 0 97 val vpnLen = VAddrBits - offLen // when opening H extention, vpnlen broaden two bits 98 /* 99 Sv39 page table entry 100 +--+------+--------+----------------------+-----+--------+ 101 |63|62 61|60 54|53 10|9 8|7 0| 102 +--+------+--------+----------------------+-----+--------+ 103 |N | PBMT |Reserved| PPNs | RSW | FALG | 104 +--+------+--------+----------------------+-----+--------+ 105 */ 106 val pteFlagLen = 8 107 val pteRswLen = 2 108 val ptePPNLen = 44 109 val pteResLen = 7 110 val ptePbmtLen = 2 111 val pteNLen = 1 112 val ppnHignLen = ptePPNLen - ppnLen 113 val gvpnLen = GPAddrBits - offLen 114 115 val tlbcontiguous = 8 116 val sectortlbwidth = log2Up(tlbcontiguous) 117 val sectorppnLen = ppnLen - sectortlbwidth 118 val sectorgvpnLen = gvpnLen - sectortlbwidth 119 val sectorvpnLen = vpnLen - sectortlbwidth 120 val sectorptePPNLen = ptePPNLen - sectortlbwidth 121 122 val loadfiltersize = 16 // 4*3(LduCnt:2 + HyuCnt:1) + 4(prefetch:1) 123 val storefiltersize = if (StorePipelineWidth >= 3) 16 else 8 124 val prefetchfiltersize = 8 125 126 val sramSinglePort = true 127 128 val timeOutThreshold = 100000 129 130 def noS2xlate = "b00".U 131 def allStage = "b11".U 132 def onlyStage1 = "b01".U 133 def onlyStage2 = "b10".U 134 135 def Sv39 = "h8".U 136 def Sv48 = "h9".U 137 138 def Sv39x4 = "h8".U 139 def Sv48x4 = "h9".U 140 141 def get_pn(addr: UInt) = { 142 require(addr.getWidth > offLen) 143 addr(addr.getWidth-1, offLen) 144 } 145 def get_off(addr: UInt) = { 146 require(addr.getWidth > offLen) 147 addr(offLen-1, 0) 148 } 149 150 def get_set_idx(vpn: UInt, nSets: Int): UInt = { 151 require(nSets >= 1) 152 vpn(log2Up(nSets)-1, 0) 153 } 154 155 def drop_set_idx(vpn: UInt, nSets: Int): UInt = { 156 require(nSets >= 1) 157 require(vpn.getWidth > log2Ceil(nSets)) 158 vpn(vpn.getWidth-1, log2Ceil(nSets)) 159 } 160 161 def drop_set_equal(vpn1: UInt, vpn2: UInt, nSets: Int): Bool = { 162 require(nSets >= 1) 163 require(vpn1.getWidth == vpn2.getWidth) 164 if (vpn1.getWidth <= log2Ceil(nSets)) { 165 true.B 166 } else { 167 drop_set_idx(vpn1, nSets) === drop_set_idx(vpn2, nSets) 168 } 169 } 170 171 def replaceWrapper(v: UInt, lruIdx: UInt): UInt = { 172 val width = v.getWidth 173 val emptyIdx = ParallelPriorityMux((0 until width).map( i => (!v(i), i.U(log2Up(width).W)))) 174 val full = Cat(v).andR 175 Mux(full, lruIdx, emptyIdx) 176 } 177 178 def replaceWrapper(v: Seq[Bool], lruIdx: UInt): UInt = { 179 replaceWrapper(VecInit(v).asUInt, lruIdx) 180 } 181 182 import scala.language.implicitConversions 183 184 implicit def hptwresp_to_tlbperm(hptwResp: HptwResp): TlbPermBundle = { 185 val tp = Wire(new TlbPermBundle) 186 val ptePerm = hptwResp.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 187 tp.pf := hptwResp.gpf 188 tp.af := hptwResp.gaf 189 tp.d := ptePerm.d 190 tp.a := ptePerm.a 191 tp.g := ptePerm.g 192 tp.u := ptePerm.u 193 tp.x := ptePerm.x 194 tp.w := ptePerm.w 195 tp.r := ptePerm.r 196 tp 197 } 198 199 implicit def ptwresp_to_tlbperm(ptwResp: PtwSectorResp): TlbPermBundle = { 200 val tp = Wire(new TlbPermBundle) 201 val ptePerm = ptwResp.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 202 tp.pf := ptwResp.pf 203 tp.af := ptwResp.af 204 tp.d := ptePerm.d 205 tp.a := ptePerm.a 206 tp.g := ptePerm.g 207 tp.u := ptePerm.u 208 tp.x := ptePerm.x 209 tp.w := ptePerm.w 210 tp.r := ptePerm.r 211 tp 212 } 213} 214 215trait HasPtwConst extends HasTlbConst with MemoryOpConstants{ 216 val PtwWidth = 2 217 val sourceWidth = { if (l2tlbParams.enablePrefetch) PtwWidth + 1 else PtwWidth} 218 val prefetchID = PtwWidth 219 220 val blockBits = l2tlbParams.blockBytes * 8 221 222 val bPtwWidth = log2Up(PtwWidth) 223 val bSourceWidth = log2Up(sourceWidth) 224 // ptwl3: fully-associated 225 val PtwL3TagLen = if (EnableSv48) vpnnLen + extendVpnnBits else 0 226 // ptwl2: fully-associated 227 val PtwL2TagLen = if (EnableSv48) vpnnLen * 2 + extendVpnnBits else vpnnLen + extendVpnnBits 228 229 /* +-------+----------+-------------+ 230 * | Tag | SetIdx | SectorIdx | 231 * +-------+----------+-------------+ 232 */ 233 // ptwl1: 8-way group-associated 234 val PtwL1SetNum = l2tlbParams.l1nSets 235 val PtwL1SectorSize = blockBits / XLEN 236 val PtwL1IdxLen = log2Up(PtwL1SetNum * PtwL1SectorSize) 237 val PtwL1SectorIdxLen = log2Up(PtwL1SectorSize) 238 val PtwL1SetIdxLen = log2Up(PtwL1SetNum) 239 val PtwL1TagLen = if (EnableSv48) vpnnLen * 3 - PtwL1IdxLen + extendVpnnBits else vpnnLen * 2 - PtwL1IdxLen + extendVpnnBits 240 241 // ptwl0: 16-way group-associated 242 val PtwL0SetNum = l2tlbParams.l0nSets 243 val PtwL0SectorSize = blockBits / XLEN 244 val PtwL0IdxLen = log2Up(PtwL0SetNum * PtwL0SectorSize) 245 val PtwL0SectorIdxLen = log2Up(PtwL0SectorSize) 246 val PtwL0SetIdxLen = log2Up(PtwL0SetNum) 247 val PtwL0TagLen = if (EnableSv48) vpnnLen * 4 - PtwL0IdxLen + extendVpnnBits else vpnnLen * 3 - PtwL0IdxLen + extendVpnnBits 248 249 // super page, including 1GB and 2MB page 250 val SPTagLen = if (EnableSv48) vpnnLen * 3 + extendVpnnBits else vpnnLen * 2 + extendVpnnBits 251 252 // miss queue 253 val MissQueueSize = l2tlbParams.ifilterSize + l2tlbParams.dfilterSize 254 val MemReqWidth = l2tlbParams.llptwsize + 1 + 1 255 val HptwReqId = l2tlbParams.llptwsize + 1 256 val FsmReqID = l2tlbParams.llptwsize 257 val bMemID = log2Up(MemReqWidth) 258 259 def genPtwL1Idx(vpn: UInt) = { 260 (vpn(vpnLen - 1, vpnnLen))(PtwL1IdxLen - 1, 0) 261 } 262 263 def genPtwL1SectorIdx(vpn: UInt) = { 264 genPtwL1Idx(vpn)(PtwL1SectorIdxLen - 1, 0) 265 } 266 267 def genPtwL1SetIdx(vpn: UInt) = { 268 genPtwL1Idx(vpn)(PtwL1SetIdxLen + PtwL1SectorIdxLen - 1, PtwL1SectorIdxLen) 269 } 270 271 def genPtwL0Idx(vpn: UInt) = { 272 vpn(PtwL0IdxLen - 1, 0) 273 } 274 275 def genPtwL0SectorIdx(vpn: UInt) = { 276 genPtwL0Idx(vpn)(PtwL0SectorIdxLen - 1, 0) 277 } 278 279 def dropL0SectorBits(vpn: UInt) = { 280 vpn(vpn.getWidth-1, PtwL0SectorIdxLen) 281 } 282 283 def genPtwL0SetIdx(vpn: UInt) = { 284 genPtwL0Idx(vpn)(PtwL0SetIdxLen + PtwL0SectorIdxLen - 1, PtwL0SectorIdxLen) 285 } 286 287 def MakeAddr(ppn: UInt, off: UInt) = { 288 require(off.getWidth == 9) 289 Cat(ppn, off, 0.U(log2Up(XLEN/8).W)) 290 } 291 292 def MakeGPAddr(ppn: UInt, off: UInt) = { 293 require(off.getWidth == 9 || off.getWidth == 11) 294 (Cat(ppn, 0.U(offLen.W)) + Cat(off, 0.U(log2Up(XLEN / 8).W)))(GPAddrBits - 1, 0) 295 } 296 297 def getVpnn(vpn: UInt, idx: Int): UInt = { 298 vpn(vpnnLen*(idx+1)-1, vpnnLen*idx) 299 } 300 301 def getVpnn(vpn: UInt, idx: UInt): UInt = { 302 MuxLookup(idx, 0.U)(Seq( 303 0.U -> vpn(vpnnLen - 1, 0), 304 1.U -> vpn(vpnnLen * 2 - 1, vpnnLen), 305 2.U -> vpn(vpnnLen * 3 - 1, vpnnLen * 2), 306 3.U -> vpn(vpnnLen * 4 - 1, vpnnLen * 3)) 307 ) 308 } 309 310 def getGVpnn(vpn: UInt, idx: UInt, mode: UInt): UInt = { 311 MuxLookup(idx, 0.U)(Seq( 312 0.U -> vpn(vpnnLen - 1, 0), 313 1.U -> vpn(vpnnLen * 2 - 1, vpnnLen), 314 2.U -> Mux(mode === Sv48, vpn(vpnnLen * 3 - 1, vpnnLen * 2), vpn(vpnnLen * 3 + 1, vpnnLen * 2)), 315 3.U -> vpn(vpnnLen * 4 + 1, vpnnLen * 3)) 316 ) 317 } 318 319 def getVpnClip(vpn: UInt, level: Int) = { 320 // level 2 /* vpnn2 */ 321 // level 1 /* vpnn2 * vpnn1 */ 322 // level 0 /* vpnn2 * vpnn1 * vpnn0*/ 323 vpn(vpnLen - 1, level * vpnnLen) 324 } 325 326 def get_next_line(vpn: UInt) = { 327 Cat(dropL0SectorBits(vpn) + 1.U, 0.U(PtwL0SectorIdxLen.W)) 328 } 329 330 def same_l1entry(vpn1: UInt, vpn2: UInt) = { 331 vpn1(vpnLen-1, vpnnLen) === vpn2(vpnLen-1, vpnnLen) 332 } 333 334 def from_pre(source: UInt) = { 335 (source === prefetchID.U) 336 } 337 338 def sel_data(data: UInt, index: UInt): UInt = { 339 val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W))) 340 inner_data(index) 341 } 342 343 // vpn1 and vpn2 is at same cacheline 344 def dup(vpn1: UInt, vpn2: UInt): Bool = { 345 dropL0SectorBits(vpn1) === dropL0SectorBits(vpn2) 346 } 347 348 349 def printVec[T <: Data](x: Seq[T]): Printable = { 350 (0 until x.length).map(i => p"(${i.U})${x(i)} ").reduce(_+_) 351 } 352} 353