xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/MMUBundle.scala (revision bb2f3f51dd67f6e16e0cc1ffe43368c9fc7e4aef)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache.mmu
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import xiangshan._
23import utils._
24import utility._
25import xiangshan.backend.rob.RobPtr
26import xiangshan.backend.fu.util.HasCSRConst
27import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
28import freechips.rocketchip.tilelink._
29import xiangshan.backend.fu.{PMPReqBundle, PMPConfig}
30import xiangshan.backend.fu.PMPBundle
31
32
33abstract class TlbBundle(implicit p: Parameters) extends XSBundle with HasTlbConst
34abstract class TlbModule(implicit p: Parameters) extends XSModule with HasTlbConst
35
36
37class PtePermBundle(implicit p: Parameters) extends TlbBundle {
38  val d = Bool()
39  val a = Bool()
40  val g = Bool()
41  val u = Bool()
42  val x = Bool()
43  val w = Bool()
44  val r = Bool()
45
46  override def toPrintable: Printable = {
47    p"d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r}"// +
48    //(if(hasV) (p"v:${v}") else p"")
49  }
50}
51
52class TlbPMBundle(implicit p: Parameters) extends TlbBundle {
53  val r = Bool()
54  val w = Bool()
55  val x = Bool()
56  val c = Bool()
57  val atomic = Bool()
58
59  def assign_ap(pm: PMPConfig) = {
60    r := pm.r
61    w := pm.w
62    x := pm.x
63    c := pm.c
64    atomic := pm.atomic
65  }
66}
67
68class TlbPermBundle(implicit p: Parameters) extends TlbBundle {
69  val pf = Bool() // NOTE: if this is true, just raise pf
70  val af = Bool() // NOTE: if this is true, just raise af
71  // pagetable perm (software defined)
72  val d = Bool()
73  val a = Bool()
74  val g = Bool()
75  val u = Bool()
76  val x = Bool()
77  val w = Bool()
78  val r = Bool()
79
80  def apply(item: PtwSectorResp) = {
81    val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType)
82    this.pf := item.pf
83    this.af := item.af
84    this.d := ptePerm.d
85    this.a := ptePerm.a
86    this.g := ptePerm.g
87    this.u := ptePerm.u
88    this.x := ptePerm.x
89    this.w := ptePerm.w
90    this.r := ptePerm.r
91
92    this
93  }
94
95  def applyS2(item: HptwResp) = {
96    val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType)
97    this.pf := item.gpf
98    this.af := item.gaf
99    this.d := ptePerm.d
100    this.a := ptePerm.a
101    this.g := ptePerm.g
102    this.u := ptePerm.u
103    this.x := ptePerm.x
104    this.w := ptePerm.w
105    this.r := ptePerm.r
106
107    this
108  }
109
110  override def toPrintable: Printable = {
111    p"pf:${pf} af:${af} d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r} "
112  }
113}
114
115class TlbSectorPermBundle(implicit p: Parameters) extends TlbBundle {
116  val pf = Bool() // NOTE: if this is true, just raise pf
117  val af = Bool() // NOTE: if this is true, just raise af
118  // pagetable perm (software defined)
119  val d = Bool()
120  val a = Bool()
121  val g = Bool()
122  val u = Bool()
123  val x = Bool()
124  val w = Bool()
125  val r = Bool()
126
127  def apply(item: PtwSectorResp) = {
128    val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType)
129    this.pf := item.pf
130    this.af := item.af
131    this.d := ptePerm.d
132    this.a := ptePerm.a
133    this.g := ptePerm.g
134    this.u := ptePerm.u
135    this.x := ptePerm.x
136    this.w := ptePerm.w
137    this.r := ptePerm.r
138
139    this
140  }
141  override def toPrintable: Printable = {
142    p"pf:${pf} af:${af} d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r} "
143  }
144}
145
146// multi-read && single-write
147// input is data, output is hot-code(not one-hot)
148class CAMTemplate[T <: Data](val gen: T, val set: Int, val readWidth: Int)(implicit p: Parameters) extends TlbModule {
149  val io = IO(new Bundle {
150    val r = new Bundle {
151      val req = Input(Vec(readWidth, gen))
152      val resp = Output(Vec(readWidth, Vec(set, Bool())))
153    }
154    val w = Input(new Bundle {
155      val valid = Bool()
156      val bits = new Bundle {
157        val index = UInt(log2Up(set).W)
158        val data = gen
159      }
160    })
161  })
162
163  val wordType = UInt(gen.getWidth.W)
164  val array = Reg(Vec(set, wordType))
165
166  io.r.resp.zipWithIndex.map{ case (a,i) =>
167    a := array.map(io.r.req(i).asUInt === _)
168  }
169
170  when (io.w.valid) {
171    array(io.w.bits.index) := io.w.bits.data.asUInt
172  }
173}
174
175class TlbEntry(pageNormal: Boolean, pageSuper: Boolean)(implicit p: Parameters) extends TlbBundle {
176  require(pageNormal || pageSuper)
177
178  val tag = if (!pageNormal) UInt((vpnLen - vpnnLen).W)
179  else UInt(vpnLen.W)
180  val asid = UInt(asidLen.W)
181  val level = if (!pageNormal) Some(UInt(1.W))
182  else if (!pageSuper) None
183  else Some(UInt(2.W))
184  val ppn = if (!pageNormal) UInt((ppnLen - vpnnLen).W)
185  else UInt(ppnLen.W)
186  val perm = new TlbPermBundle
187
188  val g_perm = new TlbPermBundle
189  val vmid = UInt(vmidLen.W)
190  val s2xlate = UInt(2.W)
191
192
193  /** level usage:
194    *  !PageSuper: page is only normal, level is None, match all the tag
195    *  !PageNormal: page is only super, level is a Bool(), match high 9*2 parts
196    *  bits0  0: need mid 9bits
197    *         1: no need mid 9bits
198    *  PageSuper && PageNormal: page hold all the three type,
199    *  bits0  0: need low 9bits
200    *  bits1  0: need mid 9bits
201    */
202
203
204  def hit(vpn: UInt, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, vmid: UInt, hasS2xlate: Bool, onlyS2: Bool): Bool = {
205    val asid_hit = Mux(hasS2xlate && onlyS2, true.B, if (ignoreAsid) true.B else (this.asid === asid))
206    val vmid_hit = Mux(hasS2xlate, this.vmid === vmid, true.B)
207
208    // NOTE: for timing, dont care low set index bits at hit check
209    //       do not need store the low bits actually
210    if (!pageSuper) asid_hit && drop_set_equal(vpn, tag, nSets) && vmid_hit
211    else if (!pageNormal) {
212      val tag_match_hi = tag(vpnnLen*2-1, vpnnLen) === vpn(vpnnLen*3-1, vpnnLen*2)
213      val tag_match_mi = tag(vpnnLen-1, 0) === vpn(vpnnLen*2-1, vpnnLen)
214      val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi)
215      asid_hit && tag_match && vmid_hit
216    }
217    else {
218      val tmp_level = level.get
219      val tag_match_hi = tag(vpnnLen*3-1, vpnnLen*2) === vpn(vpnnLen*3-1, vpnnLen*2)
220      val tag_match_mi = tag(vpnnLen*2-1, vpnnLen) === vpn(vpnnLen*2-1, vpnnLen)
221      val tag_match_lo = tag(vpnnLen-1, 0) === vpn(vpnnLen-1, 0) // if pageNormal is false, this will always be false
222      val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo)
223      asid_hit && tag_match && vmid_hit
224    }
225  }
226
227  def apply(item: PtwRespS2, pm: PMPConfig): TlbEntry = {
228    this.asid := item.s1.entry.asid
229    val inner_level = item.s1.entry.level.getOrElse(0.U) max item.s2.entry.level.getOrElse(0.U)
230    this.level.map(_ := { if (pageNormal && pageSuper) MuxLookup(inner_level, 0.U)(Seq(
231      0.U -> 3.U,
232      1.U -> 1.U,
233      2.U -> 0.U ))
234    else if (pageSuper) ~inner_level(0)
235    else 0.U })
236    val s1tag = {if (pageNormal) Cat(item.s1.entry.tag, OHToUInt(item.s1.pteidx)) else item.s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth)}
237    val s2tag = {if (pageNormal) item.s2.entry.tag else item.s2.entry.tag(vpnLen - 1, vpnnLen)}
238    this.tag := Mux(item.s2xlate === onlyStage2, s2tag, s1tag)
239
240    val s1ppn = {
241      if (!pageNormal) item.s1.entry.ppn(sectorgvpnLen - 1, vpnnLen - sectortlbwidth)
242      else Cat(item.s1.entry.ppn, item.s1.ppn_low(OHToUInt(item.s1.pteidx)))
243    }
244    val s2ppn = {
245      if (!pageNormal) item.s2.entry.ppn(ppnLen - 1, vpnnLen)
246      else item.s2.entry.ppn
247    }
248    this.ppn := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn, s2ppn)
249    this.perm.apply(item.s1)
250    this.vmid := item.s1.entry.vmid.getOrElse(0.U)
251    this.g_perm.applyS2(item.s2)
252    this.s2xlate := item.s2xlate
253    this
254  }
255
256  // 4KB is normal entry, 2MB/1GB is considered as super entry
257  def is_normalentry(): Bool = {
258    if (!pageSuper) { true.B }
259    else if (!pageNormal) { false.B }
260    else { level.get === 0.U }
261  }
262
263
264  def genPPN(saveLevel: Boolean = false, valid: Bool = false.B)(vpn: UInt) : UInt = {
265    val inner_level = level.getOrElse(0.U)
266    val ppn_res = if (!pageSuper) ppn
267    else if (!pageNormal) Cat(ppn(ppnLen-vpnnLen-1, vpnnLen),
268      Mux(inner_level(0), vpn(vpnnLen*2-1, vpnnLen), ppn(vpnnLen-1,0)),
269      vpn(vpnnLen-1, 0))
270    else Cat(ppn(ppnLen-1, vpnnLen*2),
271      Mux(inner_level(1), vpn(vpnnLen*2-1, vpnnLen), ppn(vpnnLen*2-1, vpnnLen)),
272      Mux(inner_level(0), vpn(vpnnLen-1, 0), ppn(vpnnLen-1, 0)))
273
274    if (saveLevel) Cat(ppn(ppn.getWidth-1, vpnnLen*2), RegEnable(ppn_res(vpnnLen*2-1, 0), valid))
275    else ppn_res
276  }
277
278  override def toPrintable: Printable = {
279    val inner_level = level.getOrElse(2.U)
280    p"asid: ${asid} level:${inner_level} vpn:${Hexadecimal(tag)} ppn:${Hexadecimal(ppn)} perm:${perm}"
281  }
282
283}
284
285class TlbSectorEntry(pageNormal: Boolean, pageSuper: Boolean)(implicit p: Parameters) extends TlbBundle {
286  require(pageNormal || pageSuper)
287
288  val tag = if (!pageNormal) UInt((vpnLen - vpnnLen).W)
289            else UInt(sectorvpnLen.W)
290  val asid = UInt(asidLen.W)
291  val level = if (!pageNormal) Some(UInt(1.W))
292              else if (!pageSuper) None
293              else Some(UInt(2.W))
294  val ppn = if (!pageNormal) UInt((ppnLen - vpnnLen).W)
295            else UInt(sectorppnLen.W) //only used when disable s2xlate
296  val perm = new TlbSectorPermBundle
297  val valididx = Vec(tlbcontiguous, Bool())
298  val pteidx = Vec(tlbcontiguous, Bool())
299  val ppn_low = Vec(tlbcontiguous, UInt(sectortlbwidth.W))
300
301  val g_perm = new TlbPermBundle
302  val vmid = UInt(vmidLen.W)
303  val s2xlate = UInt(2.W)
304
305
306  /** level usage:
307   *  !PageSuper: page is only normal, level is None, match all the tag
308   *  !PageNormal: page is only super, level is a Bool(), match high 9*2 parts
309   *  bits0  0: need mid 9bits
310   *         1: no need mid 9bits
311   *  PageSuper && PageNormal: page hold all the three type,
312   *  bits0  0: need low 9bits
313   *  bits1  0: need mid 9bits
314   */
315
316  def hit(vpn: UInt, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, vmid: UInt, hasS2xlate: Bool, onlyS2: Bool = false.B, onlyS1: Bool = false.B): Bool = {
317    val asid_hit = Mux(hasS2xlate && onlyS2, true.B, if (ignoreAsid) true.B else (this.asid === asid))
318    val addr_low_hit = valididx(vpn(2, 0))
319    val vmid_hit = Mux(hasS2xlate, this.vmid === vmid, true.B)
320    val isPageSuper = !(level.getOrElse(0.U) === 0.U)
321    val pteidx_hit = Mux(hasS2xlate && !isPageSuper && !onlyS1, pteidx(vpn(2, 0)), true.B)
322    // NOTE: for timing, dont care low set index bits at hit check
323    //       do not need store the low bits actually
324    if (!pageSuper) asid_hit && drop_set_equal(vpn(vpn.getWidth - 1, sectortlbwidth), tag, nSets) && addr_low_hit && vmid_hit && pteidx_hit
325    else if (!pageNormal) {
326      val tag_match_hi = tag(vpnnLen * 2 - 1, vpnnLen) === vpn(vpnnLen * 3 - 1, vpnnLen * 2)
327      val tag_match_mi = tag(vpnnLen - 1, 0) === vpn(vpnnLen * 2 - 1, vpnnLen)
328      val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi)
329      asid_hit && tag_match && addr_low_hit && vmid_hit && pteidx_hit
330    }
331    else {
332      val tmp_level = level.get
333      val tag_match_hi = tag(vpnnLen * 3 - sectortlbwidth - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2)
334      val tag_match_mi = tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen)
335      val tag_match_lo = tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) // if pageNormal is false, this will always be false
336      val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo)
337      asid_hit && tag_match && addr_low_hit && vmid_hit && pteidx_hit
338    }
339  }
340
341  def wbhit(data: PtwRespS2, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, s2xlate: UInt): Bool = {
342    val s1vpn = data.s1.entry.tag
343    val s2vpn = data.s2.entry.tag(vpnLen - 1, sectortlbwidth)
344    val wb_vpn = Mux(s2xlate === onlyStage2, s2vpn, s1vpn)
345    val vpn = Cat(wb_vpn, 0.U(sectortlbwidth.W))
346    val asid_hit = if (ignoreAsid) true.B else (this.asid === asid)
347    val vpn_hit = Wire(Bool())
348    val index_hit = Wire(Vec(tlbcontiguous, Bool()))
349    val wb_valididx = Wire(Vec(tlbcontiguous, Bool()))
350    val hasS2xlate = this.s2xlate =/= noS2xlate
351    val onlyS1 = this.s2xlate === onlyStage1
352    val onlyS2 = this.s2xlate === onlyStage2
353    val pteidx_hit = MuxCase(true.B, Seq(
354      onlyS2 -> (VecInit(UIntToOH(data.s2.entry.tag(sectortlbwidth - 1, 0))).asUInt === pteidx.asUInt),
355      hasS2xlate -> (pteidx.asUInt === data.s1.pteidx.asUInt)
356    ))
357    wb_valididx := Mux(s2xlate === onlyStage2, VecInit(UIntToOH(data.s2.entry.tag(sectortlbwidth - 1, 0)).asBools), data.s1.valididx)
358    val s2xlate_hit = s2xlate === this.s2xlate
359    // NOTE: for timing, dont care low set index bits at hit check
360    //       do not need store the low bits actually
361    if (!pageSuper) {
362      vpn_hit := asid_hit && drop_set_equal(vpn(vpn.getWidth - 1, sectortlbwidth), tag, nSets)
363    }
364    else if (!pageNormal) {
365      val tag_match_hi = tag(vpnnLen * 2 - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2)
366      val tag_match_mi = tag(vpnnLen - 1, 0) === vpn(vpnnLen * 2 - 1, vpnnLen)
367      val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi)
368      vpn_hit := asid_hit && tag_match
369    }
370    else {
371      val tmp_level = level.get
372      val tag_match_hi = tag(vpnnLen * 3 - sectortlbwidth - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2)
373      val tag_match_mi = tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen)
374      val tag_match_lo = tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) // if pageNormal is false, this will always be false
375      val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo)
376      vpn_hit := asid_hit && tag_match
377    }
378
379    for (i <- 0 until tlbcontiguous) {
380      index_hit(i) := wb_valididx(i) && valididx(i)
381    }
382
383    // For example, tlb req to page cache with vpn 0x10
384    // At this time, 0x13 has not been paged, so page cache only resp 0x10
385    // When 0x13 refill to page cache, previous item will be flushed
386    // Now 0x10 and 0x13 are both valid in page cache
387    // However, when 0x13 refill to tlb, will trigger multi hit
388    // So will only trigger multi-hit when PopCount(data.valididx) = 1
389    vpn_hit && index_hit.reduce(_ || _) && PopCount(wb_valididx) === 1.U && s2xlate_hit && pteidx_hit
390  }
391
392  def apply(item: PtwRespS2): TlbSectorEntry = {
393    this.asid := item.s1.entry.asid
394    val inner_level = MuxLookup(item.s2xlate, 2.U)(Seq(
395      onlyStage1 -> item.s1.entry.level.getOrElse(0.U),
396      onlyStage2 -> item.s2.entry.level.getOrElse(0.U),
397      allStage -> (item.s1.entry.level.getOrElse(0.U) max item.s2.entry.level.getOrElse(0.U)),
398      noS2xlate -> item.s1.entry.level.getOrElse(0.U)
399    ))
400    this.level.map(_ := { if (pageNormal && pageSuper) MuxLookup(inner_level, 0.U)(Seq(
401                                                        0.U -> 3.U,
402                                                        1.U -> 1.U,
403                                                        2.U -> 0.U ))
404                          else if (pageSuper) ~inner_level(0)
405                          else 0.U })
406    this.perm.apply(item.s1)
407
408    val s1tag = {if (pageNormal) item.s1.entry.tag else item.s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth)}
409    val s2tag = {if (pageNormal) item.s2.entry.tag(vpnLen - 1, sectortlbwidth) else item.s2.entry.tag(vpnLen - 1, vpnnLen)}
410    // if stage1 page is larger than stage2 page, need to merge s1tag and s2tag.
411    val s1tagFix = {
412      if (pageNormal){
413        MuxCase(s1tag, Seq(
414          (item.s1.entry.level.getOrElse(0.U) === 0.U && item.s2.entry.level.getOrElse(0.U) === 1.U) -> Cat(item.s1.entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), item.s2.entry.tag(vpnnLen * 2 - 1,  vpnnLen), 0.U((vpnnLen - sectortlbwidth).W)),
415          (item.s1.entry.level.getOrElse(0.U) === 0.U && item.s2.entry.level.getOrElse(0.U) === 2.U) -> Cat(item.s1.entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), item.s2.entry.tag(vpnnLen * 2 - 1,  sectortlbwidth)),
416          (item.s1.entry.level.getOrElse(0.U) === 1.U && item.s2.entry.level.getOrElse(0.U) === 2.U) -> Cat(item.s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth), item.s2.entry.tag(vpnnLen - 1,  sectortlbwidth))
417        ))
418      } else {
419        MuxCase(s1tag, Seq(
420          (item.s1.entry.level.getOrElse(0.U) === 0.U && item.s2.entry.level.getOrElse(0.U) === 1.U) -> Cat(item.s1.entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), item.s2.entry.tag(vpnnLen * 2 - 1,  vpnnLen))
421        ))
422      }}
423    this.tag := Mux(item.s2xlate === onlyStage2, s2tag, Mux(item.s2xlate === allStage, s1tagFix, s1tag))
424    val s2page_pageSuper = item.s2.entry.level.getOrElse(0.U) =/= 2.U
425    this.pteidx := Mux(item.s2xlate === onlyStage2, VecInit(UIntToOH(item.s2.entry.tag(sectortlbwidth - 1, 0)).asBools),  item.s1.pteidx)
426    val s2_valid = Mux(s2page_pageSuper, VecInit(Seq.fill(tlbcontiguous)(true.B)), VecInit(UIntToOH(item.s2.entry.tag(sectortlbwidth - 1, 0)).asBools))
427    this.valididx := Mux(item.s2xlate === onlyStage2, s2_valid, item.s1.valididx)
428    // if stage2 page is larger than stage1 page, need to merge s2tag and s2ppn to get a new s2ppn.
429    val s1ppn = {
430      if (!pageNormal) item.s1.entry.ppn(sectorgvpnLen - 1, vpnnLen - sectortlbwidth) else item.s1.entry.ppn
431    }
432    val s1ppn_low = item.s1.ppn_low
433    val s2ppn = {
434      if (!pageNormal)
435        MuxLookup(item.s2.entry.level.getOrElse(0.U), item.s2.entry.ppn(ppnLen - 1, vpnnLen))(Seq(
436          0.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen * 2), item.s2.entry.tag(vpnnLen * 2 - 1, vpnnLen)),
437        ))
438      else
439        MuxLookup(item.s2.entry.level.getOrElse(0.U), item.s2.entry.ppn(ppnLen - 1, sectortlbwidth))(Seq(
440          0.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen * 2), item.s2.entry.tag(vpnnLen * 2 - 1, sectortlbwidth)),
441          1.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen), item.s2.entry.tag(vpnnLen - 1, sectortlbwidth))
442        ))
443    }
444    val s2ppn_tmp = {
445      MuxLookup(item.s2.entry.level.getOrElse(0.U), item.s2.entry.ppn(ppnLen - 1, 0))(Seq(
446        0.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen * 2), item.s2.entry.tag(vpnnLen * 2 - 1, 0)),
447        1.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen), item.s2.entry.tag(vpnnLen - 1, 0))
448      ))
449    }
450    val s2ppn_low = VecInit(Seq.fill(tlbcontiguous)(s2ppn_tmp(sectortlbwidth - 1, 0)))
451    this.ppn := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn, s2ppn)
452    this.ppn_low := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn_low, s2ppn_low)
453    this.vmid := item.s1.entry.vmid.getOrElse(0.U)
454    this.g_perm.applyS2(item.s2)
455    this.s2xlate := item.s2xlate
456    this
457  }
458
459  // 4KB is normal entry, 2MB/1GB is considered as super entry
460  def is_normalentry(): Bool = {
461    if (!pageSuper) { true.B }
462    else if (!pageNormal) { false.B }
463    else { level.get === 0.U }
464  }
465
466
467  def genPPN(saveLevel: Boolean = false, valid: Bool = false.B)(vpn: UInt) : UInt = {
468    val inner_level = level.getOrElse(0.U)
469    val ppn_res = if (!pageSuper) Cat(ppn, ppn_low(vpn(sectortlbwidth - 1, 0)))
470      else if (!pageNormal) Cat(ppn(ppnLen - vpnnLen - 1, vpnnLen),
471        Mux(inner_level(0), vpn(vpnnLen * 2 - 1, vpnnLen), ppn(vpnnLen - 1,0)),
472        vpn(vpnnLen - 1, 0))
473      else Cat(ppn(sectorppnLen - 1, vpnnLen * 2 - sectortlbwidth),
474        Mux(inner_level(1), vpn(vpnnLen * 2 - 1, vpnnLen), ppn(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth)),
475        Mux(inner_level(0), vpn(vpnnLen - 1, 0), Cat(ppn(vpnnLen - sectortlbwidth - 1, 0), ppn_low(vpn(sectortlbwidth - 1, 0)))))
476
477    if (saveLevel) {
478      if (ppn.getWidth == ppnLen - vpnnLen) {
479        Cat(ppn(ppn.getWidth - 1, vpnnLen * 2), RegEnable(ppn_res(vpnnLen * 2 - 1, 0), valid))
480      } else {
481        require(ppn.getWidth == sectorppnLen)
482        Cat(ppn(ppn.getWidth - 1, vpnnLen * 2 - sectortlbwidth), RegEnable(ppn_res(vpnnLen * 2 - 1, 0), valid))
483      }
484    }
485    else ppn_res
486  }
487
488  def hasS2xlate(): Bool = {
489    this.s2xlate =/= noS2xlate
490  }
491
492  override def toPrintable: Printable = {
493    val inner_level = level.getOrElse(2.U)
494    p"asid: ${asid} level:${inner_level} vpn:${Hexadecimal(tag)} ppn:${Hexadecimal(ppn)} perm:${perm}"
495  }
496
497}
498
499object TlbCmd {
500  def read  = "b00".U
501  def write = "b01".U
502  def exec  = "b10".U
503
504  def atom_read  = "b100".U // lr
505  def atom_write = "b101".U // sc / amo
506
507  def apply() = UInt(3.W)
508  def isRead(a: UInt) = a(1,0)===read
509  def isWrite(a: UInt) = a(1,0)===write
510  def isExec(a: UInt) = a(1,0)===exec
511
512  def isAtom(a: UInt) = a(2)
513  def isAmo(a: UInt) = a===atom_write // NOTE: sc mixed
514}
515
516class TlbStorageIO(nSets: Int, nWays: Int, ports: Int, nDups: Int = 1)(implicit p: Parameters) extends MMUIOBaseBundle {
517  val r = new Bundle {
518    val req = Vec(ports, Flipped(DecoupledIO(new Bundle {
519      val vpn = Output(UInt(vpnLen.W))
520      val s2xlate = Output(UInt(2.W))
521    })))
522    val resp = Vec(ports, ValidIO(new Bundle{
523      val hit = Output(Bool())
524      val ppn = Vec(nDups, Output(UInt(ppnLen.W)))
525      val perm = Vec(nDups, Output(new TlbSectorPermBundle()))
526      val g_perm = Vec(nDups, Output(new TlbPermBundle()))
527      val s2xlate = Vec(nDups, Output(UInt(2.W)))
528    }))
529  }
530  val w = Flipped(ValidIO(new Bundle {
531    val wayIdx = Output(UInt(log2Up(nWays).W))
532    val data = Output(new PtwRespS2)
533  }))
534  val access = Vec(ports, new ReplaceAccessBundle(nSets, nWays))
535
536  def r_req_apply(valid: Bool, vpn: UInt, i: Int, s2xlate:UInt): Unit = {
537    this.r.req(i).valid := valid
538    this.r.req(i).bits.vpn := vpn
539    this.r.req(i).bits.s2xlate := s2xlate
540
541  }
542
543  def r_resp_apply(i: Int) = {
544    (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm, this.r.resp(i).bits.g_perm)
545  }
546
547  def w_apply(valid: Bool, wayIdx: UInt, data: PtwRespS2): Unit = {
548    this.w.valid := valid
549    this.w.bits.wayIdx := wayIdx
550    this.w.bits.data := data
551  }
552
553}
554
555class TlbStorageWrapperIO(ports: Int, q: TLBParameters, nDups: Int = 1)(implicit p: Parameters) extends MMUIOBaseBundle {
556  val r = new Bundle {
557    val req = Vec(ports, Flipped(DecoupledIO(new Bundle {
558      val vpn = Output(UInt(vpnLen.W))
559      val s2xlate = Output(UInt(2.W))
560    })))
561    val resp = Vec(ports, ValidIO(new Bundle{
562      val hit = Output(Bool())
563      val ppn = Vec(nDups, Output(UInt(ppnLen.W)))
564      val perm = Vec(nDups, Output(new TlbPermBundle()))
565      val g_perm = Vec(nDups, Output(new TlbPermBundle()))
566      val s2xlate = Vec(nDups, Output(UInt(2.W)))
567    }))
568  }
569  val w = Flipped(ValidIO(new Bundle {
570    val data = Output(new PtwRespS2)
571  }))
572  val replace = if (q.outReplace) Flipped(new TlbReplaceIO(ports, q)) else null
573
574  def r_req_apply(valid: Bool, vpn: UInt, i: Int, s2xlate: UInt): Unit = {
575    this.r.req(i).valid := valid
576    this.r.req(i).bits.vpn := vpn
577    this.r.req(i).bits.s2xlate := s2xlate
578  }
579
580  def r_resp_apply(i: Int) = {
581    (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm, this.r.resp(i).bits.g_perm, this.r.resp(i).bits.s2xlate)
582  }
583
584  def w_apply(valid: Bool, data: PtwRespS2): Unit = {
585    this.w.valid := valid
586    this.w.bits.data := data
587  }
588}
589
590class ReplaceAccessBundle(nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle {
591  val sets = Output(UInt(log2Up(nSets).W))
592  val touch_ways = ValidIO(Output(UInt(log2Up(nWays).W)))
593}
594
595class ReplaceIO(Width: Int, nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle {
596  val access = Vec(Width, Flipped(new ReplaceAccessBundle(nSets, nWays)))
597
598  val refillIdx = Output(UInt(log2Up(nWays).W))
599  val chosen_set = Flipped(Output(UInt(log2Up(nSets).W)))
600
601  def apply_sep(in: Seq[ReplaceIO], vpn: UInt): Unit = {
602    for ((ac_rep, ac_tlb) <- access.zip(in.map(a => a.access.map(b => b)).flatten)) {
603      ac_rep := ac_tlb
604    }
605    this.chosen_set := get_set_idx(vpn, nSets)
606    in.map(a => a.refillIdx := this.refillIdx)
607  }
608}
609
610class TlbReplaceIO(Width: Int, q: TLBParameters)(implicit p: Parameters) extends
611  TlbBundle {
612  val page = new ReplaceIO(Width, q.NSets, q.NWays)
613
614  def apply_sep(in: Seq[TlbReplaceIO], vpn: UInt) = {
615    this.page.apply_sep(in.map(_.page), vpn)
616  }
617
618}
619
620class MemBlockidxBundle(implicit p: Parameters) extends TlbBundle {
621  val is_ld = Bool()
622  val is_st = Bool()
623  val idx = UInt(log2Ceil(VirtualLoadQueueMaxStoreQueueSize).W)
624}
625
626class TlbReq(implicit p: Parameters) extends TlbBundle {
627  val vaddr = Output(UInt(VAddrBits.W))
628  val cmd = Output(TlbCmd())
629  val hyperinst = Output(Bool())
630  val hlvx = Output(Bool())
631  val size = Output(UInt(log2Ceil(log2Ceil(VLEN/8)+1).W))
632  val kill = Output(Bool()) // Use for blocked tlb that need sync with other module like icache
633  val memidx = Output(new MemBlockidxBundle)
634  // do not translate, but still do pmp/pma check
635  val no_translate = Output(Bool())
636  val debug = new Bundle {
637    val pc = Output(UInt(XLEN.W))
638    val robIdx = Output(new RobPtr)
639    val isFirstIssue = Output(Bool())
640  }
641
642  // Maybe Block req needs a kill: for itlb, itlb and icache may not sync, itlb should wait icache to go ahead
643  override def toPrintable: Printable = {
644    p"vaddr:0x${Hexadecimal(vaddr)} cmd:${cmd} kill:${kill} pc:0x${Hexadecimal(debug.pc)} robIdx:${debug.robIdx}"
645  }
646}
647
648class TlbExceptionBundle(implicit p: Parameters) extends TlbBundle {
649  val ld = Output(Bool())
650  val st = Output(Bool())
651  val instr = Output(Bool())
652}
653
654class TlbResp(nDups: Int = 1)(implicit p: Parameters) extends TlbBundle {
655  val paddr = Vec(nDups, Output(UInt(PAddrBits.W)))
656  val gpaddr = Vec(nDups, Output(UInt(GPAddrBits.W)))
657  val miss = Output(Bool())
658  val excp = Vec(nDups, new Bundle {
659    val gpf = new TlbExceptionBundle()
660    val pf = new TlbExceptionBundle()
661    val af = new TlbExceptionBundle()
662  })
663  val ptwBack = Output(Bool()) // when ptw back, wake up replay rs's state
664  val memidx = Output(new MemBlockidxBundle)
665
666  val debug = new Bundle {
667    val robIdx = Output(new RobPtr)
668    val isFirstIssue = Output(Bool())
669  }
670  override def toPrintable: Printable = {
671    p"paddr:0x${Hexadecimal(paddr(0))} miss:${miss} excp.pf: ld:${excp(0).pf.ld} st:${excp(0).pf.st} instr:${excp(0).pf.instr} ptwBack:${ptwBack}"
672  }
673}
674
675class TlbRequestIO(nRespDups: Int = 1)(implicit p: Parameters) extends TlbBundle {
676  val req = DecoupledIO(new TlbReq)
677  val req_kill = Output(Bool())
678  val resp = Flipped(DecoupledIO(new TlbResp(nRespDups)))
679}
680
681class TlbPtwIO(Width: Int = 1)(implicit p: Parameters) extends TlbBundle {
682  val req = Vec(Width, DecoupledIO(new PtwReq))
683  val resp = Flipped(DecoupledIO(new PtwRespS2))
684
685
686  override def toPrintable: Printable = {
687    p"req(0):${req(0).valid} ${req(0).ready} ${req(0).bits} | resp:${resp.valid} ${resp.ready} ${resp.bits}"
688  }
689}
690
691class TlbPtwIOwithMemIdx(Width: Int = 1)(implicit p: Parameters) extends TlbBundle {
692  val req = Vec(Width, DecoupledIO(new PtwReqwithMemIdx))
693  val resp = Flipped(DecoupledIO(new PtwRespS2withMemIdx()))
694
695
696  override def toPrintable: Printable = {
697    p"req(0):${req(0).valid} ${req(0).ready} ${req(0).bits} | resp:${resp.valid} ${resp.ready} ${resp.bits}"
698  }
699}
700
701class TlbHintReq(implicit p: Parameters) extends TlbBundle {
702  val id = Output(UInt(log2Up(loadfiltersize).W))
703  val full = Output(Bool())
704}
705
706class TLBHintResp(implicit p: Parameters) extends TlbBundle {
707  val id = Output(UInt(log2Up(loadfiltersize).W))
708  // When there are multiple matching entries for PTW resp in filter
709  // e.g. vaddr 0, 0x80000000. vaddr 1, 0x80010000
710  // these two vaddrs are not in a same 4K Page, so will send to ptw twice
711  // However, when ptw resp, if they are in a 1G or 2M huge page
712  // The two entries will both hit, and both need to replay
713  val replay_all = Output(Bool())
714}
715
716class TlbHintIO(implicit p: Parameters) extends TlbBundle {
717  val req = Vec(backendParams.LdExuCnt, new TlbHintReq)
718  val resp = ValidIO(new TLBHintResp)
719}
720
721class MMUIOBaseBundle(implicit p: Parameters) extends TlbBundle {
722  val sfence = Input(new SfenceBundle)
723  val csr = Input(new TlbCsrBundle)
724
725  def base_connect(sfence: SfenceBundle, csr: TlbCsrBundle): Unit = {
726    this.sfence <> sfence
727    this.csr <> csr
728  }
729
730  // overwrite satp. write satp will cause flushpipe but csr.priv won't
731  // satp will be dealyed several cycles from writing, but csr.priv won't
732  // so inside mmu, these two signals should be divided
733  def base_connect(sfence: SfenceBundle, csr: TlbCsrBundle, satp: TlbSatpBundle) = {
734    this.sfence <> sfence
735    this.csr <> csr
736    this.csr.satp := satp
737  }
738}
739
740class TlbRefilltoMemIO()(implicit p: Parameters) extends TlbBundle {
741  val valid = Bool()
742  val memidx = new MemBlockidxBundle
743}
744
745class TlbIO(Width: Int, nRespDups: Int = 1, q: TLBParameters)(implicit p: Parameters) extends
746  MMUIOBaseBundle {
747  val hartId = Input(UInt(hartIdLen.W))
748  val requestor = Vec(Width, Flipped(new TlbRequestIO(nRespDups)))
749  val flushPipe = Vec(Width, Input(Bool()))
750  val redirect = Flipped(ValidIO(new Redirect)) // flush the signal need_gpa in tlb
751  val ptw = new TlbPtwIOwithMemIdx(Width)
752  val refill_to_mem = Output(new TlbRefilltoMemIO())
753  val replace = if (q.outReplace) Flipped(new TlbReplaceIO(Width, q)) else null
754  val pmp = Vec(Width, ValidIO(new PMPReqBundle(q.lgMaxSize)))
755  val tlbreplay = Vec(Width, Output(Bool()))
756}
757
758class VectorTlbPtwIO(Width: Int)(implicit p: Parameters) extends TlbBundle {
759  val req = Vec(Width, DecoupledIO(new PtwReqwithMemIdx()))
760  val resp = Flipped(DecoupledIO(new Bundle {
761    val data = new PtwRespS2withMemIdx
762    val vector = Output(Vec(Width, Bool()))
763    val getGpa = Output(Vec(Width, Bool()))
764  }))
765
766  def connect(normal: TlbPtwIOwithMemIdx): Unit = {
767    req <> normal.req
768    resp.ready := normal.resp.ready
769    normal.resp.bits := resp.bits.data
770    normal.resp.valid := resp.valid
771  }
772}
773
774/****************************  L2TLB  *************************************/
775abstract class PtwBundle(implicit p: Parameters) extends XSBundle with HasPtwConst
776abstract class PtwModule(outer: L2TLB) extends LazyModuleImp(outer)
777  with HasXSParameter with HasPtwConst
778
779class PteBundle(implicit p: Parameters) extends PtwBundle{
780  val reserved  = UInt(pteResLen.W)
781  val ppn_high = UInt(ppnHignLen.W)
782  val ppn  = UInt(ppnLen.W)
783  val rsw  = UInt(2.W)
784  val perm = new Bundle {
785    val d    = Bool()
786    val a    = Bool()
787    val g    = Bool()
788    val u    = Bool()
789    val x    = Bool()
790    val w    = Bool()
791    val r    = Bool()
792    val v    = Bool()
793  }
794
795  def unaligned(level: UInt) = {
796    isLeaf() && !(level === 2.U ||
797                  level === 1.U && ppn(vpnnLen-1,   0) === 0.U ||
798                  level === 0.U && ppn(vpnnLen*2-1, 0) === 0.U)
799  }
800
801  def isPf(level: UInt) = {
802    !perm.v || (!perm.r && perm.w) || unaligned(level)
803  }
804
805  // paddr of Xiangshan is 36 bits but ppn of sv39 is 44 bits
806  // access fault will be raised when ppn >> ppnLen is not zero
807  def isAf() = {
808    !(ppn_high === 0.U)
809  }
810
811  def isStage1Af() = {
812    !((Cat(ppn_high, ppn) >> gvpnLen) === 0.U)
813  }
814
815  def isLeaf() = {
816    perm.r || perm.x || perm.w
817  }
818
819  def getPerm() = {
820    val pm = Wire(new PtePermBundle)
821    pm.d := perm.d
822    pm.a := perm.a
823    pm.g := perm.g
824    pm.u := perm.u
825    pm.x := perm.x
826    pm.w := perm.w
827    pm.r := perm.r
828    pm
829  }
830  def getPPN() = {
831    Cat(ppn_high, ppn)
832  }
833  override def toPrintable: Printable = {
834    p"ppn:0x${Hexadecimal(ppn)} perm:b${Binary(perm.asUInt)}"
835  }
836}
837
838class PtwEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwBundle {
839  val tag = UInt(tagLen.W)
840  val asid = UInt(asidLen.W)
841  val vmid = if (HasHExtension) Some(UInt(vmidLen.W)) else None
842  val ppn = UInt(ppnLen.W)
843  val perm = if (hasPerm) Some(new PtePermBundle) else None
844  val level = if (hasLevel) Some(UInt(log2Up(Level).W)) else None
845  val prefetch = Bool()
846  val v = Bool()
847
848  def is_normalentry(): Bool = {
849    if (!hasLevel) true.B
850    else level.get === 2.U
851  }
852
853  def genPPN(vpn: UInt): UInt = {
854    if (!hasLevel) ppn
855    else MuxLookup(level.get, 0.U)(Seq(
856          0.U -> Cat(ppn(ppn.getWidth-1, vpnnLen*2), vpn(vpnnLen*2-1, 0)),
857          1.U -> Cat(ppn(ppn.getWidth-1, vpnnLen), vpn(vpnnLen-1, 0)),
858          2.U -> ppn)
859    )
860  }
861
862  //s2xlate control whether compare vmid or not
863  def hit(vpn: UInt, asid: UInt, vasid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false, s2xlate: Bool) = {
864    require(vpn.getWidth == vpnLen)
865//    require(this.asid.getWidth <= asid.getWidth)
866    val asid_value = Mux(s2xlate, vasid, asid)
867    val asid_hit = if (ignoreAsid) true.B else (this.asid === asid_value)
868    val vmid_hit = Mux(s2xlate, (this.vmid.getOrElse(0.U) === vmid), true.B)
869    if (allType) {
870      require(hasLevel)
871      val hit0 = tag(tagLen - 1,    vpnnLen*2) === vpn(tagLen - 1, vpnnLen*2)
872      val hit1 = tag(vpnnLen*2 - 1, vpnnLen)   === vpn(vpnnLen*2 - 1,  vpnnLen)
873      val hit2 = tag(vpnnLen - 1,     0)         === vpn(vpnnLen - 1, 0)
874
875      asid_hit && vmid_hit && Mux(level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0))
876    } else if (hasLevel) {
877      val hit0 = tag(tagLen - 1, tagLen - vpnnLen - extendVpnnBits) === vpn(vpnLen - 1, vpnLen - vpnnLen - extendVpnnBits)
878      val hit1 = tag(tagLen - vpnnLen - extendVpnnBits - 1, tagLen - vpnnLen * 2 - extendVpnnBits) === vpn(vpnLen - vpnnLen - extendVpnnBits - 1, vpnLen - vpnnLen * 2 - extendVpnnBits)
879
880      asid_hit && vmid_hit && Mux(level.getOrElse(0.U) === 0.U, hit0, hit0 && hit1)
881    } else {
882      asid_hit && vmid_hit && tag === vpn(vpnLen - 1, vpnLen - tagLen)
883    }
884  }
885
886  def refill(vpn: UInt, asid: UInt, vmid: UInt, pte: UInt, level: UInt = 0.U, prefetch: Bool, valid: Bool = false.B) {
887    require(this.asid.getWidth <= asid.getWidth) // maybe equal is better, but ugly outside
888
889    tag := vpn(vpnLen - 1, vpnLen - tagLen)
890    ppn := pte.asTypeOf(new PteBundle().cloneType).ppn
891    perm.map(_ := pte.asTypeOf(new PteBundle().cloneType).perm)
892    this.asid := asid
893    this.vmid.map(_ := vmid)
894    this.prefetch := prefetch
895    this.v := valid
896    this.level.map(_ := level)
897  }
898
899  def genPtwEntry(vpn: UInt, asid: UInt, pte: UInt, level: UInt = 0.U, prefetch: Bool, valid: Bool = false.B) = {
900    val e = Wire(new PtwEntry(tagLen, hasPerm, hasLevel))
901    e.refill(vpn, asid, pte, level, prefetch, valid)
902    e
903  }
904
905
906
907  override def toPrintable: Printable = {
908    // p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} perm:${perm}"
909    p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} " +
910      (if (hasPerm) p"perm:${perm.getOrElse(0.U.asTypeOf(new PtePermBundle))} " else p"") +
911      (if (hasLevel) p"level:${level.getOrElse(0.U)}" else p"") +
912      p"prefetch:${prefetch}"
913  }
914}
915
916class PtwSectorEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwEntry(tagLen, hasPerm, hasLevel) {
917  override val ppn = UInt(sectorgvpnLen.W)
918}
919
920class PtwMergeEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwSectorEntry(tagLen, hasPerm, hasLevel) {
921  val ppn_low = UInt(sectortlbwidth.W)
922  val af = Bool()
923  val pf = Bool()
924}
925
926class HptwMergeEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwMergeEntry(tagLen, hasPerm, hasLevel)
927
928class PtwEntries(num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle {
929  require(log2Up(num)==log2Down(num))
930  // NOTE: hasPerm means that is leaf or not.
931
932  val tag  = UInt(tagLen.W)
933  val asid = UInt(asidLen.W)
934  val vmid = Some(UInt(vmidLen.W))
935  val ppns = Vec(num, UInt(gvpnLen.W))
936  val vs   = Vec(num, Bool())
937  val af   = Vec(num, Bool())
938  val perms = if (hasPerm) Some(Vec(num, new PtePermBundle)) else None
939  val prefetch = Bool()
940  // println(s"PtwEntries: tag:1*${tagLen} ppns:${num}*${ppnLen} vs:${num}*1")
941  // NOTE: vs is used for different usage:
942  // for l3, which store the leaf(leaves), vs is page fault or not.
943  // for l2, which shoule not store leaf, vs is valid or not, that will anticipate in hit check
944  // Because, l2 should not store leaf(no perm), it doesn't store perm.
945  // If l2 hit a leaf, the perm is still unavailble. Should still page walk. Complex but nothing helpful.
946  // TODO: divide vs into validVec and pfVec
947  // for l2: may valid but pf, so no need for page walk, return random pte with pf.
948
949  def tagClip(vpn: UInt) = {
950    require(vpn.getWidth == vpnLen)
951    vpn(vpnLen - 1, vpnLen - tagLen)
952  }
953
954  def sectorIdxClip(vpn: UInt, level: Int) = {
955    getVpnClip(vpn, level)(log2Up(num) - 1, 0)
956  }
957
958  def hit(vpn: UInt, asid: UInt, vasid: UInt, vmid:UInt, ignoreAsid: Boolean = false, s2xlate: Bool) = {
959    val asid_value = Mux(s2xlate, vasid, asid)
960    val asid_hit = if (ignoreAsid) true.B else (this.asid === asid_value)
961    val vmid_hit = Mux(s2xlate, this.vmid.getOrElse(0.U) === vmid, true.B)
962    asid_hit && vmid_hit && tag === tagClip(vpn) && !af(sectorIdxClip(vpn, level)) && (if (hasPerm) true.B else vs(sectorIdxClip(vpn, level)))
963  }
964
965  def genEntries(vpn: UInt, asid: UInt, vmid: UInt, data: UInt, levelUInt: UInt, prefetch: Bool) = {
966    require((data.getWidth / XLEN) == num,
967      s"input data length must be multiple of pte length: data.length:${data.getWidth} num:${num}")
968
969    val ps = Wire(new PtwEntries(num, tagLen, level, hasPerm))
970    ps.tag := tagClip(vpn)
971    ps.asid := asid
972    ps.vmid.map(_ := vmid)
973    ps.prefetch := prefetch
974    for (i <- 0 until num) {
975      val pte = data((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle)
976      ps.ppns(i) := pte.ppn
977      ps.vs(i)   := !pte.isPf(levelUInt) && (if (hasPerm) pte.isLeaf() else !pte.isLeaf())
978      ps.af(i)   := pte.isAf()
979      ps.perms.map(_(i) := pte.perm)
980    }
981    ps
982  }
983
984  override def toPrintable: Printable = {
985    // require(num == 4, "if num is not 4, please comment this toPrintable")
986    // NOTE: if num is not 4, please comment this toPrintable
987    val permsInner = perms.getOrElse(0.U.asTypeOf(Vec(num, new PtePermBundle)))
988    p"asid: ${Hexadecimal(asid)} tag:0x${Hexadecimal(tag)} ppns:${printVec(ppns)} vs:${Binary(vs.asUInt)} " +
989      (if (hasPerm) p"perms:${printVec(permsInner)}" else p"")
990  }
991}
992
993class PTWEntriesWithEcc(eccCode: Code, num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle {
994  val entries = new PtwEntries(num, tagLen, level, hasPerm)
995
996  val ecc_block = XLEN
997  val ecc_info = get_ecc_info()
998  val ecc = if (l2tlbParams.enablePTWECC) Some(UInt(ecc_info._1.W)) else None
999
1000  def get_ecc_info(): (Int, Int, Int, Int) = {
1001    val eccBits_per = eccCode.width(ecc_block) - ecc_block
1002
1003    val data_length = entries.getWidth
1004    val data_align_num = data_length / ecc_block
1005    val data_not_align = (data_length % ecc_block) != 0 // ugly code
1006    val data_unalign_length = data_length - data_align_num * ecc_block
1007    val eccBits_unalign = eccCode.width(data_unalign_length) - data_unalign_length
1008
1009    val eccBits = eccBits_per * data_align_num + eccBits_unalign
1010    (eccBits, eccBits_per, data_align_num, data_unalign_length)
1011  }
1012
1013  def encode() = {
1014    val data = entries.asUInt
1015    val ecc_slices = Wire(Vec(ecc_info._3, UInt(ecc_info._2.W)))
1016    for (i <- 0 until ecc_info._3) {
1017      ecc_slices(i) := eccCode.encode(data((i+1)*ecc_block-1, i*ecc_block)) >> ecc_block
1018    }
1019    if (ecc_info._4 != 0) {
1020      val ecc_unaligned = eccCode.encode(data(data.getWidth-1, ecc_info._3*ecc_block)) >> ecc_info._4
1021      ecc.map(_ := Cat(ecc_unaligned, ecc_slices.asUInt))
1022    } else { ecc.map(_ := ecc_slices.asUInt)}
1023  }
1024
1025  def decode(): Bool = {
1026    val data = entries.asUInt
1027    val res = Wire(Vec(ecc_info._3 + 1, Bool()))
1028    for (i <- 0 until ecc_info._3) {
1029      res(i) := {if (ecc_info._2 != 0) eccCode.decode(Cat(ecc.get((i+1)*ecc_info._2-1, i*ecc_info._2), data((i+1)*ecc_block-1, i*ecc_block))).error else false.B}
1030    }
1031    if (ecc_info._2 != 0 && ecc_info._4 != 0) {
1032      res(ecc_info._3) := eccCode.decode(
1033        Cat(ecc.get(ecc_info._1-1, ecc_info._2*ecc_info._3), data(data.getWidth-1, ecc_info._3*ecc_block))).error
1034    } else { res(ecc_info._3) := false.B }
1035
1036    Cat(res).orR
1037  }
1038
1039  def gen(vpn: UInt, asid: UInt, vmid: UInt, data: UInt, levelUInt: UInt, prefetch: Bool) = {
1040    this.entries := entries.genEntries(vpn, asid, vmid, data, levelUInt, prefetch)
1041    this.encode()
1042  }
1043}
1044
1045class PtwReq(implicit p: Parameters) extends PtwBundle {
1046  val vpn = UInt(vpnLen.W) //vpn or gvpn
1047  val s2xlate = UInt(2.W)
1048  def hasS2xlate(): Bool = {
1049    this.s2xlate =/= noS2xlate
1050  }
1051  def isOnlyStage2(): Bool = {
1052    this.s2xlate === onlyStage2
1053  }
1054  override def toPrintable: Printable = {
1055    p"vpn:0x${Hexadecimal(vpn)}"
1056  }
1057}
1058
1059class PtwReqwithMemIdx(implicit p: Parameters) extends PtwReq {
1060  val memidx = new MemBlockidxBundle
1061  val getGpa = Bool() // this req is to get gpa when having guest page fault
1062}
1063
1064class PtwResp(implicit p: Parameters) extends PtwBundle {
1065  val entry = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true)
1066  val pf = Bool()
1067  val af = Bool()
1068
1069  def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, asid: UInt) = {
1070    this.entry.level.map(_ := level)
1071    this.entry.tag := vpn
1072    this.entry.perm.map(_ := pte.getPerm())
1073    this.entry.ppn := pte.ppn
1074    this.entry.prefetch := DontCare
1075    this.entry.asid := asid
1076    this.entry.v := !pf
1077    this.pf := pf
1078    this.af := af
1079  }
1080
1081  override def toPrintable: Printable = {
1082    p"entry:${entry} pf:${pf} af:${af}"
1083  }
1084}
1085
1086class HptwResp(implicit p: Parameters) extends PtwBundle {
1087  val entry = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true)
1088  val gpf = Bool()
1089  val gaf = Bool()
1090
1091  def apply(gpf: Bool, gaf: Bool, level: UInt, pte: PteBundle, vpn: UInt, vmid: UInt) = {
1092    val resp_pte = Mux(gaf, 0.U.asTypeOf(pte), pte)
1093    this.entry.level.map(_ := level)
1094    this.entry.tag := vpn
1095    this.entry.perm.map(_ := resp_pte.getPerm())
1096    this.entry.ppn := resp_pte.ppn
1097    this.entry.prefetch := DontCare
1098    this.entry.asid := DontCare
1099    this.entry.vmid.map(_ := vmid)
1100    this.entry.v := !gpf
1101    this.gpf := gpf
1102    this.gaf := gaf
1103  }
1104
1105  def genPPNS2(vpn: UInt): UInt = {
1106    MuxLookup(entry.level.get, 0.U)(Seq(
1107      0.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, vpnnLen * 2), vpn(vpnnLen * 2 - 1, 0)),
1108      1.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, vpnnLen), vpn(vpnnLen - 1, 0)),
1109      2.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, 0))
1110    ))
1111  }
1112
1113  def hit(gvpn: UInt, vmid: UInt): Bool = {
1114    val vmid_hit = this.entry.vmid.getOrElse(0.U) === vmid
1115    val hit0 = entry.tag(vpnLen - 1, vpnnLen * 2) === gvpn(vpnLen - 1, vpnnLen * 2)
1116    val hit1 = entry.tag(vpnnLen * 2  - 1, vpnnLen) === gvpn(vpnnLen * 2 - 1, vpnnLen)
1117    val hit2 = entry.tag(vpnnLen - 1, 0) === gvpn(vpnnLen - 1, 0)
1118    vmid_hit && Mux(entry.level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(entry.level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0))
1119  }
1120}
1121
1122class PtwResptomerge (implicit p: Parameters) extends PtwBundle {
1123  val entry = UInt(blockBits.W)
1124  val vpn = UInt(vpnLen.W)
1125  val level = UInt(log2Up(Level).W)
1126  val pf = Bool()
1127  val af = Bool()
1128  val asid = UInt(asidLen.W)
1129
1130  def apply(pf: Bool, af: Bool, level: UInt, pte: UInt, vpn: UInt, asid: UInt) = {
1131    this.entry := pte
1132    this.pf := pf
1133    this.af := af
1134    this.level := level
1135    this.vpn := vpn
1136    this.asid := asid
1137  }
1138
1139  override def toPrintable: Printable = {
1140    p"entry:${entry} pf:${pf} af:${af}"
1141  }
1142}
1143
1144class PtwRespwithMemIdx(implicit p: Parameters) extends PtwResp {
1145  val memidx = new MemBlockidxBundle
1146}
1147
1148class PtwSectorRespwithMemIdx(implicit p: Parameters) extends PtwSectorResp {
1149  val memidx = new MemBlockidxBundle
1150}
1151
1152class PtwSectorResp(implicit p: Parameters) extends PtwBundle {
1153  val entry = new PtwSectorEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)
1154  val addr_low = UInt(sectortlbwidth.W)
1155  val ppn_low = Vec(tlbcontiguous, UInt(sectortlbwidth.W))
1156  val valididx = Vec(tlbcontiguous, Bool())
1157  val pteidx = Vec(tlbcontiguous, Bool())
1158  val pf = Bool()
1159  val af = Bool()
1160
1161
1162  def genPPN(vpn: UInt): UInt = {
1163    MuxLookup(entry.level.get, 0.U)(Seq(
1164      0.U -> Cat(entry.ppn(entry.ppn.getWidth-1, vpnnLen * 2 - sectortlbwidth), vpn(vpnnLen*2-1, 0)),
1165      1.U -> Cat(entry.ppn(entry.ppn.getWidth-1, vpnnLen - sectortlbwidth), vpn(vpnnLen-1, 0)),
1166      2.U -> Cat(entry.ppn(entry.ppn.getWidth-1, 0), ppn_low(vpn(sectortlbwidth - 1, 0))))
1167    )
1168  }
1169
1170  def hit(vpn: UInt, asid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false, s2xlate: Bool): Bool = {
1171    require(vpn.getWidth == vpnLen)
1172    //    require(this.asid.getWidth <= asid.getWidth)
1173    val asid_hit = if (ignoreAsid) true.B else (this.entry.asid === asid)
1174    val vmid_hit = Mux(s2xlate, this.entry.vmid.getOrElse(0.U) === vmid, true.B)
1175    if (allType) {
1176      val hit0 = entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnLen - 1, vpnnLen * 2)
1177      val hit1 = entry.tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth)   === vpn(vpnnLen * 2 - 1,  vpnnLen)
1178      val hit2 = entry.tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth)
1179      val addr_low_hit = valididx(vpn(sectortlbwidth - 1, 0))
1180
1181      asid_hit && vmid_hit && Mux(entry.level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(entry.level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0)) && addr_low_hit
1182    } else {
1183      val hit0 = entry.tag(sectorvpnLen - 1, sectorvpnLen - vpnnLen) === vpn(vpnLen - 1, vpnLen - vpnnLen)
1184      val hit1 = entry.tag(sectorvpnLen - vpnnLen - 1, sectorvpnLen - vpnnLen * 2) === vpn(vpnLen - vpnnLen - 1, vpnLen - vpnnLen * 2)
1185      val addr_low_hit = valididx(vpn(sectortlbwidth - 1, 0))
1186
1187      asid_hit && vmid_hit && Mux(entry.level.getOrElse(0.U) === 0.U, hit0, hit0 && hit1) && addr_low_hit
1188    }
1189  }
1190}
1191
1192class PtwMergeResp(implicit p: Parameters) extends PtwBundle {
1193  val entry = Vec(tlbcontiguous, new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true))
1194  val pteidx = Vec(tlbcontiguous, Bool())
1195  val not_super = Bool()
1196
1197  def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, asid: UInt, vmid:UInt, addr_low : UInt, not_super : Boolean = true) = {
1198    assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!")
1199    val resp_pte = Mux(af, 0.U.asTypeOf(pte), pte)
1200    val ptw_resp = Wire(new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true))
1201    ptw_resp.ppn := resp_pte.getPPN()(gvpnLen - 1, sectortlbwidth)
1202    ptw_resp.ppn_low := resp_pte.getPPN()(sectortlbwidth - 1, 0)
1203    ptw_resp.level.map(_ := level)
1204    ptw_resp.perm.map(_ := resp_pte.getPerm())
1205    ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth)
1206    ptw_resp.pf := pf
1207    ptw_resp.af := af
1208    ptw_resp.v := !pf
1209    ptw_resp.prefetch := DontCare
1210    ptw_resp.asid := asid
1211    ptw_resp.vmid.map(_ := vmid)
1212    this.pteidx := UIntToOH(addr_low).asBools
1213    this.not_super := not_super.B
1214
1215
1216    for (i <- 0 until tlbcontiguous) {
1217      this.entry(i) := ptw_resp
1218    }
1219  }
1220
1221  def genPPN(): UInt = {
1222    val idx = OHToUInt(pteidx)
1223    val tag = Cat(entry(idx).tag, idx(sectortlbwidth - 1, 0))
1224    MuxLookup(entry(idx).level.get, 0.U)(Seq(
1225      0.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen * 2 - sectortlbwidth), tag(vpnnLen * 2 - 1, 0)),
1226      1.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen - sectortlbwidth), tag(vpnnLen - 1, 0)),
1227      2.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, 0), entry(idx).ppn_low))
1228    )
1229  }
1230}
1231
1232class PtwRespS2(implicit p: Parameters) extends PtwBundle {
1233  val s2xlate = UInt(2.W)
1234  val s1 = new PtwSectorResp()
1235  val s2 = new HptwResp()
1236
1237  def hasS2xlate(): Bool = {
1238    this.s2xlate =/= noS2xlate
1239  }
1240
1241  def isOnlyStage2(): Bool = {
1242    this.s2xlate === onlyStage2
1243  }
1244
1245  def getVpn(vpn: UInt): UInt = {
1246    val level = s1.entry.level.getOrElse(0.U) max s2.entry.level.getOrElse(0.U)
1247    val s1tag = Cat(s1.entry.tag, OHToUInt(s1.pteidx))
1248    val s1tagFix = MuxCase(s1.entry.tag, Seq(
1249      (s1.entry.level.getOrElse(0.U) === 0.U && s2.entry.level.getOrElse(0.U) === 1.U) -> Cat(s1.entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), s2.entry.tag(vpnnLen * 2 - 1,  vpnnLen), 0.U((vpnnLen - sectortlbwidth).W)),
1250      (s1.entry.level.getOrElse(0.U) === 0.U && s2.entry.level.getOrElse(0.U) === 2.U) -> Cat(s1.entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), s2.entry.tag(vpnnLen * 2 - 1,  sectortlbwidth)),
1251      (s1.entry.level.getOrElse(0.U) === 1.U && s2.entry.level.getOrElse(0.U) === 2.U) -> Cat(s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth), s2.entry.tag(vpnnLen - 1,  sectortlbwidth))
1252    ))
1253    val s1_vpn = MuxLookup(level, s1tag)(Seq(
1254      0.U -> Cat(s1tagFix(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), vpn(vpnnLen * 2 - 1, 0)),
1255      1.U -> Cat(s1tagFix(sectorvpnLen - 1, vpnnLen - sectortlbwidth), vpn(vpnnLen - 1, 0)))
1256    )
1257    val s2_vpn = s2.entry.tag
1258    Mux(s2xlate === onlyStage2, s2_vpn, Mux(s2xlate === allStage, s1_vpn, s1tag))
1259  }
1260
1261  def hit(vpn: UInt, asid: UInt, vasid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false): Bool = {
1262    val noS2_hit = s1.hit(vpn, Mux(this.hasS2xlate(), vasid, asid), vmid, allType, ignoreAsid, this.hasS2xlate)
1263    val onlyS2_hit = s2.hit(vpn, vmid)
1264    // allstage and onlys1 hit
1265    val s1vpn = Cat(s1.entry.tag, s1.addr_low)
1266    val level = s1.entry.level.getOrElse(0.U) max s2.entry.level.getOrElse(0.U)
1267    val hit0 = vpn(vpnnLen * 3 - 1, vpnnLen * 2) === s1vpn(vpnnLen * 3 - 1, vpnnLen * 2)
1268    val hit1 = vpn(vpnnLen * 2 - 1, vpnnLen) === s1vpn(vpnnLen * 2 - 1, vpnnLen)
1269    val hit2 = vpn(vpnnLen - 1, 0) === s1vpn(vpnnLen - 1, 0)
1270    val vpn_hit = Mux(level === 2.U, hit2 && hit1 && hit0, Mux(level === 1.U, hit1 && hit0, hit0))
1271    val vmid_hit = Mux(this.s2xlate === allStage, s2.entry.vmid.getOrElse(0.U) === vmid, true.B)
1272    val vasid_hit = if (ignoreAsid) true.B else (s1.entry.asid === vasid)
1273    val all_onlyS1_hit = vpn_hit && vmid_hit && vasid_hit
1274    Mux(this.s2xlate === noS2xlate, noS2_hit,
1275      Mux(this.s2xlate === onlyStage2, onlyS2_hit, all_onlyS1_hit))
1276  }
1277}
1278
1279class PtwRespS2withMemIdx(implicit p: Parameters) extends PtwRespS2 {
1280  val memidx = new MemBlockidxBundle()
1281  val getGpa = Bool() // this req is to get gpa when having guest page fault
1282}
1283
1284class L2TLBIO(implicit p: Parameters) extends PtwBundle {
1285  val hartId = Input(UInt(hartIdLen.W))
1286  val tlb = Vec(PtwWidth, Flipped(new TlbPtwIO))
1287  val sfence = Input(new SfenceBundle)
1288  val csr = new Bundle {
1289    val tlb = Input(new TlbCsrBundle)
1290    val distribute_csr = Flipped(new DistributedCSRIO)
1291  }
1292}
1293
1294class L2TlbMemReqBundle(implicit p: Parameters) extends PtwBundle {
1295  val addr = UInt(PAddrBits.W)
1296  val id = UInt(bMemID.W)
1297  val hptw_bypassed = Bool()
1298}
1299
1300class L2TlbInnerBundle(implicit p: Parameters) extends PtwReq {
1301  val source = UInt(bSourceWidth.W)
1302}
1303
1304class L2TlbWithHptwIdBundle(implicit p: Parameters) extends PtwBundle {
1305  val req_info = new L2TlbInnerBundle
1306  val isHptwReq = Bool()
1307  val isLLptw = Bool()
1308  val hptwId = UInt(log2Up(l2tlbParams.llptwsize).W)
1309}
1310
1311object ValidHoldBypass{
1312  def apply(infire: Bool, outfire: Bool, flush: Bool = false.B) = {
1313    val valid = RegInit(false.B)
1314    when (infire) { valid := true.B }
1315    when (outfire) { valid := false.B } // ATTENTION: order different with ValidHold
1316    when (flush) { valid := false.B } // NOTE: the flush will flush in & out, is that ok?
1317    valid || infire
1318  }
1319}
1320
1321class L1TlbDB(implicit p: Parameters) extends TlbBundle {
1322  val vpn = UInt(vpnLen.W)
1323}
1324
1325class PageCacheDB(implicit p: Parameters) extends TlbBundle with HasPtwConst {
1326  val vpn = UInt(vpnLen.W)
1327  val source = UInt(bSourceWidth.W)
1328  val bypassed = Bool()
1329  val is_first = Bool()
1330  val prefetched = Bool()
1331  val prefetch = Bool()
1332  val l2Hit = Bool()
1333  val l1Hit = Bool()
1334  val hit = Bool()
1335}
1336
1337class PTWDB(implicit p: Parameters) extends TlbBundle with HasPtwConst {
1338  val vpn = UInt(vpnLen.W)
1339  val source = UInt(bSourceWidth.W)
1340}
1341
1342class L2TlbPrefetchDB(implicit p: Parameters) extends TlbBundle {
1343  val vpn = UInt(vpnLen.W)
1344}
1345
1346class L2TlbMissQueueDB(implicit p: Parameters) extends TlbBundle {
1347  val vpn = UInt(vpnLen.W)
1348}
1349