16d5ddbceSLemover/*************************************************************************************** 26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 46d5ddbceSLemover* 56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2. 66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at: 86d5ddbceSLemover* http://license.coscl.org.cn/MulanPSL2 96d5ddbceSLemover* 106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 136d5ddbceSLemover* 146d5ddbceSLemover* See the Mulan PSL v2 for more details. 156d5ddbceSLemover***************************************************************************************/ 166d5ddbceSLemover 176d5ddbceSLemoverpackage xiangshan.cache.mmu 186d5ddbceSLemover 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 206d5ddbceSLemoverimport chisel3._ 216d5ddbceSLemoverimport chisel3.util._ 226d5ddbceSLemoverimport xiangshan._ 236d5ddbceSLemoverimport utils._ 243c02ee8fSwakafaimport utility._ 259aca92b9SYinan Xuimport xiangshan.backend.rob.RobPtr 266d5ddbceSLemoverimport xiangshan.backend.fu.util.HasCSRConst 276d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 286d5ddbceSLemoverimport freechips.rocketchip.tilelink._ 295b7ef044SLemoverimport xiangshan.backend.fu.{PMPReqBundle, PMPConfig} 30f1fe8698SLemoverimport xiangshan.backend.fu.PMPBundle 315b7ef044SLemover 326d5ddbceSLemover 336d5ddbceSLemoverabstract class TlbBundle(implicit p: Parameters) extends XSBundle with HasTlbConst 346d5ddbceSLemoverabstract class TlbModule(implicit p: Parameters) extends XSModule with HasTlbConst 356d5ddbceSLemover 36a0301c0dSLemover 376d5ddbceSLemoverclass PtePermBundle(implicit p: Parameters) extends TlbBundle { 386d5ddbceSLemover val d = Bool() 396d5ddbceSLemover val a = Bool() 406d5ddbceSLemover val g = Bool() 416d5ddbceSLemover val u = Bool() 426d5ddbceSLemover val x = Bool() 436d5ddbceSLemover val w = Bool() 446d5ddbceSLemover val r = Bool() 456d5ddbceSLemover 466d5ddbceSLemover override def toPrintable: Printable = { 476d5ddbceSLemover p"d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r}"// + 486d5ddbceSLemover //(if(hasV) (p"v:${v}") else p"") 496d5ddbceSLemover } 506d5ddbceSLemover} 516d5ddbceSLemover 525b7ef044SLemoverclass TlbPMBundle(implicit p: Parameters) extends TlbBundle { 535b7ef044SLemover val r = Bool() 545b7ef044SLemover val w = Bool() 555b7ef044SLemover val x = Bool() 565b7ef044SLemover val c = Bool() 575b7ef044SLemover val atomic = Bool() 585b7ef044SLemover 595b7ef044SLemover def assign_ap(pm: PMPConfig) = { 605b7ef044SLemover r := pm.r 615b7ef044SLemover w := pm.w 625b7ef044SLemover x := pm.x 635b7ef044SLemover c := pm.c 645b7ef044SLemover atomic := pm.atomic 655b7ef044SLemover } 665b7ef044SLemover} 675b7ef044SLemover 686d5ddbceSLemoverclass TlbPermBundle(implicit p: Parameters) extends TlbBundle { 696d5ddbceSLemover val pf = Bool() // NOTE: if this is true, just raise pf 70b6982e83SLemover val af = Bool() // NOTE: if this is true, just raise af 716d5ddbceSLemover // pagetable perm (software defined) 726d5ddbceSLemover val d = Bool() 736d5ddbceSLemover val a = Bool() 746d5ddbceSLemover val g = Bool() 756d5ddbceSLemover val u = Bool() 766d5ddbceSLemover val x = Bool() 776d5ddbceSLemover val w = Bool() 786d5ddbceSLemover val r = Bool() 796d5ddbceSLemover 80f9ac118cSHaoyuan Feng def apply(item: PtwSectorResp) = { 81b0fa7106SHaoyuan Feng val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 82b0fa7106SHaoyuan Feng this.pf := item.pf 83b0fa7106SHaoyuan Feng this.af := item.af 84b0fa7106SHaoyuan Feng this.d := ptePerm.d 85b0fa7106SHaoyuan Feng this.a := ptePerm.a 86b0fa7106SHaoyuan Feng this.g := ptePerm.g 87b0fa7106SHaoyuan Feng this.u := ptePerm.u 88b0fa7106SHaoyuan Feng this.x := ptePerm.x 89b0fa7106SHaoyuan Feng this.w := ptePerm.w 90b0fa7106SHaoyuan Feng this.r := ptePerm.r 91b0fa7106SHaoyuan Feng 92b0fa7106SHaoyuan Feng this 93b0fa7106SHaoyuan Feng } 94d0de7e4aSpeixiaokun 95d0de7e4aSpeixiaokun def applyS2(item: HptwResp, pm: PMPConfig) = { 96d0de7e4aSpeixiaokun val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 97d0de7e4aSpeixiaokun this.pf := item.gpf 98d0de7e4aSpeixiaokun this.af := item.gaf 99d0de7e4aSpeixiaokun this.d := ptePerm.d 100d0de7e4aSpeixiaokun this.a := ptePerm.a 101d0de7e4aSpeixiaokun this.g := ptePerm.g 102d0de7e4aSpeixiaokun this.u := ptePerm.u 103d0de7e4aSpeixiaokun this.x := ptePerm.x 104d0de7e4aSpeixiaokun this.w := ptePerm.w 105d0de7e4aSpeixiaokun this.r := ptePerm.r 106d0de7e4aSpeixiaokun 107d0de7e4aSpeixiaokun this 108d0de7e4aSpeixiaokun } 109b0fa7106SHaoyuan Feng override def toPrintable: Printable = { 110f9ac118cSHaoyuan Feng p"pf:${pf} af:${af} d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r} " 111b0fa7106SHaoyuan Feng } 112b0fa7106SHaoyuan Feng} 113b0fa7106SHaoyuan Feng 114b0fa7106SHaoyuan Fengclass TlbSectorPermBundle(implicit p: Parameters) extends TlbBundle { 115b0fa7106SHaoyuan Feng val pf = Bool() // NOTE: if this is true, just raise pf 116b0fa7106SHaoyuan Feng val af = Bool() // NOTE: if this is true, just raise af 117b0fa7106SHaoyuan Feng // pagetable perm (software defined) 118b0fa7106SHaoyuan Feng val d = Bool() 119b0fa7106SHaoyuan Feng val a = Bool() 120b0fa7106SHaoyuan Feng val g = Bool() 121b0fa7106SHaoyuan Feng val u = Bool() 122b0fa7106SHaoyuan Feng val x = Bool() 123b0fa7106SHaoyuan Feng val w = Bool() 124b0fa7106SHaoyuan Feng val r = Bool() 125b0fa7106SHaoyuan Feng 126f9ac118cSHaoyuan Feng def apply(item: PtwSectorResp) = { 127f1fe8698SLemover val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 128f1fe8698SLemover this.pf := item.pf 129f1fe8698SLemover this.af := item.af 130f1fe8698SLemover this.d := ptePerm.d 131f1fe8698SLemover this.a := ptePerm.a 132f1fe8698SLemover this.g := ptePerm.g 133f1fe8698SLemover this.u := ptePerm.u 134f1fe8698SLemover this.x := ptePerm.x 135f1fe8698SLemover this.w := ptePerm.w 136f1fe8698SLemover this.r := ptePerm.r 137f1fe8698SLemover 138f1fe8698SLemover this 139f1fe8698SLemover } 1406d5ddbceSLemover override def toPrintable: Printable = { 141f9ac118cSHaoyuan Feng p"pf:${pf} af:${af} d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r} " 1426d5ddbceSLemover } 1436d5ddbceSLemover} 1446d5ddbceSLemover 1456d5ddbceSLemover// multi-read && single-write 1466d5ddbceSLemover// input is data, output is hot-code(not one-hot) 1476d5ddbceSLemoverclass CAMTemplate[T <: Data](val gen: T, val set: Int, val readWidth: Int)(implicit p: Parameters) extends TlbModule { 1486d5ddbceSLemover val io = IO(new Bundle { 1496d5ddbceSLemover val r = new Bundle { 1506d5ddbceSLemover val req = Input(Vec(readWidth, gen)) 1516d5ddbceSLemover val resp = Output(Vec(readWidth, Vec(set, Bool()))) 1526d5ddbceSLemover } 1536d5ddbceSLemover val w = Input(new Bundle { 1546d5ddbceSLemover val valid = Bool() 1556d5ddbceSLemover val bits = new Bundle { 1566d5ddbceSLemover val index = UInt(log2Up(set).W) 1576d5ddbceSLemover val data = gen 1586d5ddbceSLemover } 1596d5ddbceSLemover }) 1606d5ddbceSLemover }) 1616d5ddbceSLemover 1626d5ddbceSLemover val wordType = UInt(gen.getWidth.W) 1636d5ddbceSLemover val array = Reg(Vec(set, wordType)) 1646d5ddbceSLemover 1656d5ddbceSLemover io.r.resp.zipWithIndex.map{ case (a,i) => 1666d5ddbceSLemover a := array.map(io.r.req(i).asUInt === _) 1676d5ddbceSLemover } 1686d5ddbceSLemover 1696d5ddbceSLemover when (io.w.valid) { 17076e02f07SLingrui98 array(io.w.bits.index) := io.w.bits.data.asUInt 1716d5ddbceSLemover } 1726d5ddbceSLemover} 1736d5ddbceSLemover 174a0301c0dSLemoverclass TlbEntry(pageNormal: Boolean, pageSuper: Boolean)(implicit p: Parameters) extends TlbBundle { 175a0301c0dSLemover require(pageNormal || pageSuper) 176a0301c0dSLemover 177a0301c0dSLemover val tag = if (!pageNormal) UInt((vpnLen - vpnnLen).W) 178b0fa7106SHaoyuan Feng else UInt(vpnLen.W) 179b0fa7106SHaoyuan Feng val asid = UInt(asidLen.W) 180b0fa7106SHaoyuan Feng val level = if (!pageNormal) Some(UInt(1.W)) 181b0fa7106SHaoyuan Feng else if (!pageSuper) None 182b0fa7106SHaoyuan Feng else Some(UInt(2.W)) 183b0fa7106SHaoyuan Feng val ppn = if (!pageNormal) UInt((ppnLen - vpnnLen).W) 184b0fa7106SHaoyuan Feng else UInt(ppnLen.W) 185b0fa7106SHaoyuan Feng val perm = new TlbPermBundle 186b0fa7106SHaoyuan Feng 187d0de7e4aSpeixiaokun val g_perm = new TlbPermBundle 188d0de7e4aSpeixiaokun val vmid = UInt(vmidLen.W) 189d61cd5eeSpeixiaokun val s2xlate = UInt(2.W) 190d0de7e4aSpeixiaokun 191d0de7e4aSpeixiaokun /** s2xlate usage: 192d0de7e4aSpeixiaokun * bits0 0: disable s2xlate 193d0de7e4aSpeixiaokun * 1: enable s2xlate 194d0de7e4aSpeixiaokun * bits1 0: stage 1 and stage 2 if bits0 is 1 195d0de7e4aSpeixiaokun * 1: Only stage 2 if bits0 is 1 196d0de7e4aSpeixiaokun * */ 197d0de7e4aSpeixiaokun 198b0fa7106SHaoyuan Feng /** level usage: 199b0fa7106SHaoyuan Feng * !PageSuper: page is only normal, level is None, match all the tag 200b0fa7106SHaoyuan Feng * !PageNormal: page is only super, level is a Bool(), match high 9*2 parts 201b0fa7106SHaoyuan Feng * bits0 0: need mid 9bits 202b0fa7106SHaoyuan Feng * 1: no need mid 9bits 203b0fa7106SHaoyuan Feng * PageSuper && PageNormal: page hold all the three type, 204b0fa7106SHaoyuan Feng * bits0 0: need low 9bits 205b0fa7106SHaoyuan Feng * bits1 0: need mid 9bits 206b0fa7106SHaoyuan Feng */ 207b0fa7106SHaoyuan Feng 208d0de7e4aSpeixiaokun 20982978df9Speixiaokun def hit(vpn: UInt, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, vmid: UInt, hasS2xlate: Bool, onlyS2: Bool): Bool = { 21082978df9Speixiaokun val asid_hit = Mux(hasS2xlate && onlyS2, true.B, if (ignoreAsid) true.B else (this.asid === asid)) 21182978df9Speixiaokun val vmid_hit = Mux(hasS2xlate, this.vmid === vmid, true.B) 212b0fa7106SHaoyuan Feng 213b0fa7106SHaoyuan Feng // NOTE: for timing, dont care low set index bits at hit check 214b0fa7106SHaoyuan Feng // do not need store the low bits actually 215d0de7e4aSpeixiaokun if (!pageSuper) asid_hit && drop_set_equal(vpn, tag, nSets) && vmid_hit 216b0fa7106SHaoyuan Feng else if (!pageNormal) { 217b0fa7106SHaoyuan Feng val tag_match_hi = tag(vpnnLen*2-1, vpnnLen) === vpn(vpnnLen*3-1, vpnnLen*2) 218b0fa7106SHaoyuan Feng val tag_match_mi = tag(vpnnLen-1, 0) === vpn(vpnnLen*2-1, vpnnLen) 219935edac4STang Haojin val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi) 220d0de7e4aSpeixiaokun asid_hit && tag_match && vmid_hit 221b0fa7106SHaoyuan Feng } 222b0fa7106SHaoyuan Feng else { 223b0fa7106SHaoyuan Feng val tmp_level = level.get 224b0fa7106SHaoyuan Feng val tag_match_hi = tag(vpnnLen*3-1, vpnnLen*2) === vpn(vpnnLen*3-1, vpnnLen*2) 225b0fa7106SHaoyuan Feng val tag_match_mi = tag(vpnnLen*2-1, vpnnLen) === vpn(vpnnLen*2-1, vpnnLen) 226b0fa7106SHaoyuan Feng val tag_match_lo = tag(vpnnLen-1, 0) === vpn(vpnnLen-1, 0) // if pageNormal is false, this will always be false 227b0fa7106SHaoyuan Feng val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo) 228d0de7e4aSpeixiaokun asid_hit && tag_match && vmid_hit 229b0fa7106SHaoyuan Feng } 230b0fa7106SHaoyuan Feng } 231b0fa7106SHaoyuan Feng 232d0de7e4aSpeixiaokun def apply(item: PtwRespS2, pm: PMPConfig): TlbEntry = { 233d0de7e4aSpeixiaokun this.asid := item.s1.entry.asid 23482978df9Speixiaokun val inner_level = item.s1.entry.level.getOrElse(0.U) max item.s2.entry.level.getOrElse(0.U) 23545f43e6eSTang Haojin this.level.map(_ := { if (pageNormal && pageSuper) MuxLookup(inner_level, 0.U)(Seq( 236b0fa7106SHaoyuan Feng 0.U -> 3.U, 237b0fa7106SHaoyuan Feng 1.U -> 1.U, 238b0fa7106SHaoyuan Feng 2.U -> 0.U )) 239b0fa7106SHaoyuan Feng else if (pageSuper) ~inner_level(0) 240b0fa7106SHaoyuan Feng else 0.U }) 24182978df9Speixiaokun val s1tag = {if (pageNormal) Cat(item.s1.entry.tag, OHToUInt(item.s1.pteidx)) else item.s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth)} 24282978df9Speixiaokun val s2tag = {if (pageNormal) item.s2.entry.tag else item.s2.entry.tag(vpnLen - 1, vpnnLen)} 24382978df9Speixiaokun this.tag := Mux(item.s2xlate === onlyStage2, s2tag, s1tag) 24482978df9Speixiaokun 24582978df9Speixiaokun val s1ppn = { 24682978df9Speixiaokun if (!pageNormal) item.s1.entry.ppn(sectorppnLen - 1, vpnnLen - sectortlbwidth) 24782978df9Speixiaokun else Cat(item.s1.entry.ppn, item.s1.ppn_low(OHToUInt(item.s1.pteidx))) 24882978df9Speixiaokun } 24982978df9Speixiaokun val s2ppn = { 25082978df9Speixiaokun if (!pageNormal) item.s2.entry.ppn(ppnLen - 1, vpnnLen) 25182978df9Speixiaokun else item.s2.entry.ppn 25282978df9Speixiaokun } 25382978df9Speixiaokun this.ppn := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn, s2ppn) 254d0de7e4aSpeixiaokun this.perm.apply(item.s1) 255d61cd5eeSpeixiaokun this.vmid := item.s1.entry.vmid.getOrElse(0.U) 256d0de7e4aSpeixiaokun this.g_perm.applyS2(item.s2, pm) 25782978df9Speixiaokun this.s2xlate := item.s2xlate 258b0fa7106SHaoyuan Feng this 259b0fa7106SHaoyuan Feng } 260b0fa7106SHaoyuan Feng 261b0fa7106SHaoyuan Feng // 4KB is normal entry, 2MB/1GB is considered as super entry 262b0fa7106SHaoyuan Feng def is_normalentry(): Bool = { 263b0fa7106SHaoyuan Feng if (!pageSuper) { true.B } 264b0fa7106SHaoyuan Feng else if (!pageNormal) { false.B } 265b0fa7106SHaoyuan Feng else { level.get === 0.U } 266b0fa7106SHaoyuan Feng } 267b0fa7106SHaoyuan Feng 268d0de7e4aSpeixiaokun 269b0fa7106SHaoyuan Feng def genPPN(saveLevel: Boolean = false, valid: Bool = false.B)(vpn: UInt) : UInt = { 270b0fa7106SHaoyuan Feng val inner_level = level.getOrElse(0.U) 271b0fa7106SHaoyuan Feng val ppn_res = if (!pageSuper) ppn 272b0fa7106SHaoyuan Feng else if (!pageNormal) Cat(ppn(ppnLen-vpnnLen-1, vpnnLen), 273b0fa7106SHaoyuan Feng Mux(inner_level(0), vpn(vpnnLen*2-1, vpnnLen), ppn(vpnnLen-1,0)), 274b0fa7106SHaoyuan Feng vpn(vpnnLen-1, 0)) 275b0fa7106SHaoyuan Feng else Cat(ppn(ppnLen-1, vpnnLen*2), 276b0fa7106SHaoyuan Feng Mux(inner_level(1), vpn(vpnnLen*2-1, vpnnLen), ppn(vpnnLen*2-1, vpnnLen)), 277b0fa7106SHaoyuan Feng Mux(inner_level(0), vpn(vpnnLen-1, 0), ppn(vpnnLen-1, 0))) 278b0fa7106SHaoyuan Feng 279b0fa7106SHaoyuan Feng if (saveLevel) Cat(ppn(ppn.getWidth-1, vpnnLen*2), RegEnable(ppn_res(vpnnLen*2-1, 0), valid)) 280b0fa7106SHaoyuan Feng else ppn_res 281b0fa7106SHaoyuan Feng } 282b0fa7106SHaoyuan Feng 283b0fa7106SHaoyuan Feng override def toPrintable: Printable = { 284b0fa7106SHaoyuan Feng val inner_level = level.getOrElse(2.U) 285b0fa7106SHaoyuan Feng p"asid: ${asid} level:${inner_level} vpn:${Hexadecimal(tag)} ppn:${Hexadecimal(ppn)} perm:${perm}" 286b0fa7106SHaoyuan Feng } 287b0fa7106SHaoyuan Feng 288b0fa7106SHaoyuan Feng} 289b0fa7106SHaoyuan Feng 290b0fa7106SHaoyuan Fengclass TlbSectorEntry(pageNormal: Boolean, pageSuper: Boolean)(implicit p: Parameters) extends TlbBundle { 291b0fa7106SHaoyuan Feng require(pageNormal || pageSuper) 292b0fa7106SHaoyuan Feng 293b0fa7106SHaoyuan Feng val tag = if (!pageNormal) UInt((vpnLen - vpnnLen).W) 29463632028SHaoyuan Feng else UInt(sectorvpnLen.W) 29545f497a4Shappy-lx val asid = UInt(asidLen.W) 296a0301c0dSLemover val level = if (!pageNormal) Some(UInt(1.W)) 297a0301c0dSLemover else if (!pageSuper) None 298a0301c0dSLemover else Some(UInt(2.W)) 299a0301c0dSLemover val ppn = if (!pageNormal) UInt((ppnLen - vpnnLen).W) 300d0de7e4aSpeixiaokun else UInt(sectorppnLen.W) //only used when disable s2xlate 301b0fa7106SHaoyuan Feng val perm = new TlbSectorPermBundle 30263632028SHaoyuan Feng val valididx = Vec(tlbcontiguous, Bool()) 303b0fa7106SHaoyuan Feng val pteidx = Vec(tlbcontiguous, Bool()) 30482978df9Speixiaokun val ppn_low = Vec(tlbcontiguous, UInt(sectortlbwidth.W)) 305d0de7e4aSpeixiaokun 306d0de7e4aSpeixiaokun val g_perm = new TlbPermBundle 307d0de7e4aSpeixiaokun val vmid = UInt(vmidLen.W) 308d61cd5eeSpeixiaokun val s2xlate = UInt(2.W) 309d0de7e4aSpeixiaokun 310d0de7e4aSpeixiaokun /** s2xlate usage: 311d0de7e4aSpeixiaokun * bits0 0: disable s2xlate 312d0de7e4aSpeixiaokun * 1: enable s2xlate 313d0de7e4aSpeixiaokun * bits1 0: stage 1 and stage 2 if bits0 is 1 314d0de7e4aSpeixiaokun * 1: Only stage 2 if bits0 is 1 315d0de7e4aSpeixiaokun * */ 316a0301c0dSLemover 31756728e73SLemover /** level usage: 31856728e73SLemover * !PageSuper: page is only normal, level is None, match all the tag 31956728e73SLemover * !PageNormal: page is only super, level is a Bool(), match high 9*2 parts 32056728e73SLemover * bits0 0: need mid 9bits 32156728e73SLemover * 1: no need mid 9bits 32256728e73SLemover * PageSuper && PageNormal: page hold all the three type, 32356728e73SLemover * bits0 0: need low 9bits 32456728e73SLemover * bits1 0: need mid 9bits 32556728e73SLemover */ 32656728e73SLemover 32782978df9Speixiaokun def hit(vpn: UInt, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, vmid: UInt, hasS2xlate: Bool, onlyS2: Bool): Bool = { 32882978df9Speixiaokun val asid_hit = Mux(hasS2xlate && onlyS2, true.B, if (ignoreAsid) true.B else (this.asid === asid)) 32963632028SHaoyuan Feng val addr_low_hit = valididx(vpn(2, 0)) 33082978df9Speixiaokun val vmid_hit = Mux(hasS2xlate, this.vmid === vmid, true.B) 33182978df9Speixiaokun val pteidx_hit = Mux(hasS2xlate, pteidx(vpn(2, 0)), true.B) 332e9092fe2SLemover // NOTE: for timing, dont care low set index bits at hit check 333e9092fe2SLemover // do not need store the low bits actually 334d61cd5eeSpeixiaokun if (!pageSuper) asid_hit && drop_set_equal(vpn(vpn.getWidth - 1, sectortlbwidth), tag, nSets) && addr_low_hit && vmid_hit && pteidx_hit 33556728e73SLemover else if (!pageNormal) { 33656728e73SLemover val tag_match_hi = tag(vpnnLen * 2 - 1, vpnnLen) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 33756728e73SLemover val tag_match_mi = tag(vpnnLen - 1, 0) === vpn(vpnnLen * 2 - 1, vpnnLen) 338935edac4STang Haojin val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi) 339d61cd5eeSpeixiaokun asid_hit && tag_match && addr_low_hit && vmid_hit && pteidx_hit 34056728e73SLemover } 34156728e73SLemover else { 34256728e73SLemover val tmp_level = level.get 34363632028SHaoyuan Feng val tag_match_hi = tag(vpnnLen * 3 - sectortlbwidth - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 34463632028SHaoyuan Feng val tag_match_mi = tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen) 34563632028SHaoyuan Feng val tag_match_lo = tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) // if pageNormal is false, this will always be false 34656728e73SLemover val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo) 347d61cd5eeSpeixiaokun asid_hit && tag_match && addr_low_hit && vmid_hit && pteidx_hit 34856728e73SLemover } 349a0301c0dSLemover } 350a0301c0dSLemover 35163632028SHaoyuan Feng def wbhit(data: PtwSectorResp, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false): Bool = { 35263632028SHaoyuan Feng val vpn = Cat(data.entry.tag, 0.U(sectortlbwidth.W)) 35363632028SHaoyuan Feng val asid_hit = if (ignoreAsid) true.B else (this.asid === asid) 35463632028SHaoyuan Feng val vpn_hit = Wire(Bool()) 35563632028SHaoyuan Feng val index_hit = Wire(Vec(tlbcontiguous, Bool())) 35663632028SHaoyuan Feng 35763632028SHaoyuan Feng // NOTE: for timing, dont care low set index bits at hit check 35863632028SHaoyuan Feng // do not need store the low bits actually 35963632028SHaoyuan Feng if (!pageSuper) { 36063632028SHaoyuan Feng vpn_hit := asid_hit && drop_set_equal(vpn(vpn.getWidth - 1, sectortlbwidth), tag, nSets) 36163632028SHaoyuan Feng } 36263632028SHaoyuan Feng else if (!pageNormal) { 36363632028SHaoyuan Feng val tag_match_hi = tag(vpnnLen * 2 - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 36463632028SHaoyuan Feng val tag_match_mi = tag(vpnnLen - 1, 0) === vpn(vpnnLen * 2 - 1, vpnnLen) 365935edac4STang Haojin val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi) 36663632028SHaoyuan Feng vpn_hit := asid_hit && tag_match 36763632028SHaoyuan Feng } 36863632028SHaoyuan Feng else { 36963632028SHaoyuan Feng val tmp_level = level.get 37063632028SHaoyuan Feng val tag_match_hi = tag(vpnnLen * 3 - sectortlbwidth - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 37163632028SHaoyuan Feng val tag_match_mi = tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen) 37263632028SHaoyuan Feng val tag_match_lo = tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) // if pageNormal is false, this will always be false 37363632028SHaoyuan Feng val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo) 37463632028SHaoyuan Feng vpn_hit := asid_hit && tag_match 37563632028SHaoyuan Feng } 37663632028SHaoyuan Feng 37763632028SHaoyuan Feng for (i <- 0 until tlbcontiguous) { 37863632028SHaoyuan Feng index_hit(i) := data.valididx(i) && valididx(i) 37963632028SHaoyuan Feng } 38063632028SHaoyuan Feng 38163632028SHaoyuan Feng // For example, tlb req to page cache with vpn 0x10 38263632028SHaoyuan Feng // At this time, 0x13 has not been paged, so page cache only resp 0x10 38363632028SHaoyuan Feng // When 0x13 refill to page cache, previous item will be flushed 38463632028SHaoyuan Feng // Now 0x10 and 0x13 are both valid in page cache 38563632028SHaoyuan Feng // However, when 0x13 refill to tlb, will trigger multi hit 38663632028SHaoyuan Feng // So will only trigger multi-hit when PopCount(data.valididx) = 1 38763632028SHaoyuan Feng vpn_hit && index_hit.reduce(_ || _) && PopCount(data.valididx) === 1.U 38863632028SHaoyuan Feng } 38963632028SHaoyuan Feng 390d0de7e4aSpeixiaokun def apply(item: PtwRespS2): TlbSectorEntry = { 391d0de7e4aSpeixiaokun this.asid := item.s1.entry.asid 39282978df9Speixiaokun val inner_level = item.s1.entry.level.getOrElse(0.U) max item.s2.entry.level.getOrElse(0.U) 39345f43e6eSTang Haojin this.level.map(_ := { if (pageNormal && pageSuper) MuxLookup(inner_level, 0.U)(Seq( 39456728e73SLemover 0.U -> 3.U, 39556728e73SLemover 1.U -> 1.U, 39656728e73SLemover 2.U -> 0.U )) 39756728e73SLemover else if (pageSuper) ~inner_level(0) 398a0301c0dSLemover else 0.U }) 399d0de7e4aSpeixiaokun this.perm.apply(item.s1) 400d0de7e4aSpeixiaokun 401d61cd5eeSpeixiaokun this.pteidx := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, item.s1.pteidx, UIntToOH(item.s2.entry.tag(sectortlbwidth - 1, 0))) 40282978df9Speixiaokun this.valididx := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, item.s1.valididx, OHToUInt(this.pteidx)) 40382978df9Speixiaokun 40482978df9Speixiaokun val s1tag = {if (pageNormal) item.s1.entry.tag else item.s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth)} 40582978df9Speixiaokun val s2tag = {if (pageNormal) item.s2.entry.tag else item.s2.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth)} 40682978df9Speixiaokun this.tag := Mux(item.s2xlate === onlyStage2, s2tag, s1tag) 40782978df9Speixiaokun 40882978df9Speixiaokun val s1ppn = { 40982978df9Speixiaokun if (!pageNormal) item.s1.entry.ppn(sectorppnLen - 1, vpnnLen - sectortlbwidth) else item.s1.entry.ppn 410d0de7e4aSpeixiaokun } 41182978df9Speixiaokun val s1ppn_low = item.s1.ppn_low 41282978df9Speixiaokun val s2ppn = { 41382978df9Speixiaokun if (!pageNormal) item.s2.entry.ppn(ppnLen - 1, vpnnLen) else item.s2.entry.ppn(ppnLen - 1, sectortlbwidth) 41482978df9Speixiaokun } 41582978df9Speixiaokun val s2ppn_low = VecInit(Seq.fill(tlbcontiguous)(item.s2.entry.ppn(sectortlbwidth - 1, 0))) 41682978df9Speixiaokun this.ppn := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn, s2ppn) 41782978df9Speixiaokun this.ppn_low := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn_low, s2ppn_low) 418d61cd5eeSpeixiaokun this.vmid := item.s1.entry.vmid.getOrElse(0.U) 41982978df9Speixiaokun this.g_perm.applyS2(item.s2, pm(0)) 42082978df9Speixiaokun this.s2xlate := item.s2xlate 421a0301c0dSLemover this 422a0301c0dSLemover } 423a0301c0dSLemover 42456728e73SLemover // 4KB is normal entry, 2MB/1GB is considered as super entry 42556728e73SLemover def is_normalentry(): Bool = { 42656728e73SLemover if (!pageSuper) { true.B } 42756728e73SLemover else if (!pageNormal) { false.B } 42856728e73SLemover else { level.get === 0.U } 42956728e73SLemover } 4305cf62c1aSLemover 431d0de7e4aSpeixiaokun 43256728e73SLemover def genPPN(saveLevel: Boolean = false, valid: Bool = false.B)(vpn: UInt) : UInt = { 43356728e73SLemover val inner_level = level.getOrElse(0.U) 43463632028SHaoyuan Feng val ppn_res = if (!pageSuper) Cat(ppn, ppn_low(vpn(sectortlbwidth - 1, 0))) 43556728e73SLemover else if (!pageNormal) Cat(ppn(ppnLen - vpnnLen - 1, vpnnLen), 43656728e73SLemover Mux(inner_level(0), vpn(vpnnLen * 2 - 1, vpnnLen), ppn(vpnnLen - 1,0)), 43756728e73SLemover vpn(vpnnLen - 1, 0)) 43863632028SHaoyuan Feng else Cat(ppn(sectorppnLen - 1, vpnnLen * 2 - sectortlbwidth), 43963632028SHaoyuan Feng Mux(inner_level(1), vpn(vpnnLen * 2 - 1, vpnnLen), ppn(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth)), 44063632028SHaoyuan Feng Mux(inner_level(0), vpn(vpnnLen - 1, 0), Cat(ppn(vpnnLen - sectortlbwidth - 1, 0), ppn_low(vpn(sectortlbwidth - 1, 0))))) 44156728e73SLemover 44263632028SHaoyuan Feng if (saveLevel) { 44363632028SHaoyuan Feng if (ppn.getWidth == ppnLen - vpnnLen) { 44463632028SHaoyuan Feng Cat(ppn(ppn.getWidth - 1, vpnnLen * 2), RegEnable(ppn_res(vpnnLen * 2 - 1, 0), valid)) 44563632028SHaoyuan Feng } else { 44663632028SHaoyuan Feng require(ppn.getWidth == sectorppnLen) 44763632028SHaoyuan Feng Cat(ppn(ppn.getWidth - 1, vpnnLen * 2 - sectortlbwidth), RegEnable(ppn_res(vpnnLen * 2 - 1, 0), valid)) 44863632028SHaoyuan Feng } 44963632028SHaoyuan Feng } 4505cf62c1aSLemover else ppn_res 451a0301c0dSLemover } 452a0301c0dSLemover 453d61cd5eeSpeixiaokun def hasS2xlate(): Bool = { 454d61cd5eeSpeixiaokun this.s2xlate =/= noS2xlate 455d61cd5eeSpeixiaokun } 456d61cd5eeSpeixiaokun 457a0301c0dSLemover override def toPrintable: Printable = { 458a0301c0dSLemover val inner_level = level.getOrElse(2.U) 45945f497a4Shappy-lx p"asid: ${asid} level:${inner_level} vpn:${Hexadecimal(tag)} ppn:${Hexadecimal(ppn)} perm:${perm}" 460a0301c0dSLemover } 461a0301c0dSLemover 462a0301c0dSLemover} 463a0301c0dSLemover 4646d5ddbceSLemoverobject TlbCmd { 4656d5ddbceSLemover def read = "b00".U 4666d5ddbceSLemover def write = "b01".U 4676d5ddbceSLemover def exec = "b10".U 4686d5ddbceSLemover 4696d5ddbceSLemover def atom_read = "b100".U // lr 4706d5ddbceSLemover def atom_write = "b101".U // sc / amo 4716d5ddbceSLemover 4726d5ddbceSLemover def apply() = UInt(3.W) 4736d5ddbceSLemover def isRead(a: UInt) = a(1,0)===read 4746d5ddbceSLemover def isWrite(a: UInt) = a(1,0)===write 4756d5ddbceSLemover def isExec(a: UInt) = a(1,0)===exec 4766d5ddbceSLemover 4776d5ddbceSLemover def isAtom(a: UInt) = a(2) 478a79fef67Swakafa def isAmo(a: UInt) = a===atom_write // NOTE: sc mixed 4796d5ddbceSLemover} 4806d5ddbceSLemover 48103efd994Shappy-lxclass TlbStorageIO(nSets: Int, nWays: Int, ports: Int, nDups: Int = 1)(implicit p: Parameters) extends MMUIOBaseBundle { 482a0301c0dSLemover val r = new Bundle { 483a0301c0dSLemover val req = Vec(ports, Flipped(DecoupledIO(new Bundle { 484a0301c0dSLemover val vpn = Output(UInt(vpnLen.W)) 485d0de7e4aSpeixiaokun val s2xlate = Output(UInt(2.W)) // 0 bit: has s2xlate, 1 bit: Only valid when 0 bit is 1. If 0, all stage; if 1, only stage 2 486a0301c0dSLemover }))) 487a0301c0dSLemover val resp = Vec(ports, ValidIO(new Bundle{ 488a0301c0dSLemover val hit = Output(Bool()) 48903efd994Shappy-lx val ppn = Vec(nDups, Output(UInt(ppnLen.W))) 490b0fa7106SHaoyuan Feng val perm = Vec(nDups, Output(new TlbSectorPermBundle())) 491d0de7e4aSpeixiaokun val g_perm = Vec(nDups, Output(new TlbPermBundle())) 492d0de7e4aSpeixiaokun val s2xlate = Vec(nDups, Output(UInt(2.W))) 493a0301c0dSLemover })) 494a0301c0dSLemover } 495a0301c0dSLemover val w = Flipped(ValidIO(new Bundle { 496a0301c0dSLemover val wayIdx = Output(UInt(log2Up(nWays).W)) 497d0de7e4aSpeixiaokun val data = Output(new PtwRespS2) 498a0301c0dSLemover })) 4993889e11eSLemover val access = Vec(ports, new ReplaceAccessBundle(nSets, nWays)) 500a0301c0dSLemover 50182978df9Speixiaokun def r_req_apply(valid: Bool, vpn: UInt, i: Int, s2xlate:UInt): Unit = { 502a0301c0dSLemover this.r.req(i).valid := valid 503a0301c0dSLemover this.r.req(i).bits.vpn := vpn 504d0de7e4aSpeixiaokun this.r.req(i).bits.s2xlate := s2xlate 505d0de7e4aSpeixiaokun 506a0301c0dSLemover } 507a0301c0dSLemover 508a0301c0dSLemover def r_resp_apply(i: Int) = { 50982978df9Speixiaokun (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm, this.r.resp(i).bits.g_perm) 510a0301c0dSLemover } 511a0301c0dSLemover 512d0de7e4aSpeixiaokun def w_apply(valid: Bool, wayIdx: UInt, data: PtwRespS2): Unit = { 513a0301c0dSLemover this.w.valid := valid 514a0301c0dSLemover this.w.bits.wayIdx := wayIdx 515a0301c0dSLemover this.w.bits.data := data 516a0301c0dSLemover } 517a0301c0dSLemover 518a0301c0dSLemover} 519a0301c0dSLemover 52003efd994Shappy-lxclass TlbStorageWrapperIO(ports: Int, q: TLBParameters, nDups: Int = 1)(implicit p: Parameters) extends MMUIOBaseBundle { 521f1fe8698SLemover val r = new Bundle { 522f1fe8698SLemover val req = Vec(ports, Flipped(DecoupledIO(new Bundle { 523f1fe8698SLemover val vpn = Output(UInt(vpnLen.W)) 524d0de7e4aSpeixiaokun val s2xlate = Output(UInt(2.W)) 525f1fe8698SLemover }))) 526f1fe8698SLemover val resp = Vec(ports, ValidIO(new Bundle{ 527f1fe8698SLemover val hit = Output(Bool()) 52803efd994Shappy-lx val ppn = Vec(nDups, Output(UInt(ppnLen.W))) 52903efd994Shappy-lx val perm = Vec(nDups, Output(new TlbPermBundle())) 530d0de7e4aSpeixiaokun val g_perm = Vec(nDups, Output(new TlbPermBundle())) 531d0de7e4aSpeixiaokun val s2xlate = Vec(nDups, Output(UInt(2.W))) 532f1fe8698SLemover })) 533f1fe8698SLemover } 534f1fe8698SLemover val w = Flipped(ValidIO(new Bundle { 535d0de7e4aSpeixiaokun val data = Output(new PtwRespS2) 536f1fe8698SLemover })) 537f1fe8698SLemover val replace = if (q.outReplace) Flipped(new TlbReplaceIO(ports, q)) else null 538f1fe8698SLemover 539d0de7e4aSpeixiaokun def r_req_apply(valid: Bool, vpn: UInt, i: Int, s2xlate: UInt): Unit = { 540f1fe8698SLemover this.r.req(i).valid := valid 541f1fe8698SLemover this.r.req(i).bits.vpn := vpn 542d0de7e4aSpeixiaokun this.r.req(i).bits.s2xlate := s2xlate 543f1fe8698SLemover } 544f1fe8698SLemover 545f1fe8698SLemover def r_resp_apply(i: Int) = { 546d0de7e4aSpeixiaokun (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm, 547d0de7e4aSpeixiaokun this.r.resp(i).bits.super_hit, this.r.resp(i).bits.super_ppn, this.r.resp(i).bits.spm, 54882978df9Speixiaokun this.r.resp(i).bits.g_perm, this.r.resp(i).bits.s2xlate) 549f1fe8698SLemover } 550f1fe8698SLemover 551d0de7e4aSpeixiaokun def w_apply(valid: Bool, data: PtwRespS2): Unit = { 552f1fe8698SLemover this.w.valid := valid 553f1fe8698SLemover this.w.bits.data := data 554f1fe8698SLemover } 555f1fe8698SLemover} 556f1fe8698SLemover 5573889e11eSLemoverclass ReplaceAccessBundle(nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle { 5583889e11eSLemover val sets = Output(UInt(log2Up(nSets).W)) 5593889e11eSLemover val touch_ways = ValidIO(Output(UInt(log2Up(nWays).W))) 5603889e11eSLemover} 5613889e11eSLemover 562a0301c0dSLemoverclass ReplaceIO(Width: Int, nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle { 5633889e11eSLemover val access = Vec(Width, Flipped(new ReplaceAccessBundle(nSets, nWays))) 564a0301c0dSLemover 565a0301c0dSLemover val refillIdx = Output(UInt(log2Up(nWays).W)) 566a0301c0dSLemover val chosen_set = Flipped(Output(UInt(log2Up(nSets).W))) 567a0301c0dSLemover 568a0301c0dSLemover def apply_sep(in: Seq[ReplaceIO], vpn: UInt): Unit = { 56953b8f1a7SLemover for ((ac_rep, ac_tlb) <- access.zip(in.map(a => a.access.map(b => b)).flatten)) { 57053b8f1a7SLemover ac_rep := ac_tlb 571a0301c0dSLemover } 57253b8f1a7SLemover this.chosen_set := get_set_idx(vpn, nSets) 57353b8f1a7SLemover in.map(a => a.refillIdx := this.refillIdx) 574a0301c0dSLemover } 575a0301c0dSLemover} 576a0301c0dSLemover 577a0301c0dSLemoverclass TlbReplaceIO(Width: Int, q: TLBParameters)(implicit p: Parameters) extends 578a0301c0dSLemover TlbBundle { 579f9ac118cSHaoyuan Feng val page = new ReplaceIO(Width, q.NSets, q.NWays) 580a0301c0dSLemover 581a0301c0dSLemover def apply_sep(in: Seq[TlbReplaceIO], vpn: UInt) = { 582f9ac118cSHaoyuan Feng this.page.apply_sep(in.map(_.page), vpn) 583a0301c0dSLemover } 584a0301c0dSLemover 585a0301c0dSLemover} 586a0301c0dSLemover 5878744445eSMaxpicca-Liclass MemBlockidxBundle(implicit p: Parameters) extends TlbBundle { 5888744445eSMaxpicca-Li val is_ld = Bool() 5898744445eSMaxpicca-Li val is_st = Bool() 5908744445eSMaxpicca-Li val idx = 591e4f69d78Ssfencevma if (VirtualLoadQueueSize >= StoreQueueSize) { 592e4f69d78Ssfencevma val idx = UInt(log2Ceil(VirtualLoadQueueSize).W) 5938744445eSMaxpicca-Li idx 5948744445eSMaxpicca-Li } else { 5958744445eSMaxpicca-Li val idx = UInt(log2Ceil(StoreQueueSize).W) 5968744445eSMaxpicca-Li idx 5978744445eSMaxpicca-Li } 5988744445eSMaxpicca-Li} 5998744445eSMaxpicca-Li 6006d5ddbceSLemoverclass TlbReq(implicit p: Parameters) extends TlbBundle { 601ca2f90a6SLemover val vaddr = Output(UInt(VAddrBits.W)) 602ca2f90a6SLemover val cmd = Output(TlbCmd()) 603d0de7e4aSpeixiaokun val hyperinst = Output(Bool()) 604d0de7e4aSpeixiaokun val hlvx = Output(Bool()) 605ca2f90a6SLemover val size = Output(UInt(log2Ceil(log2Ceil(XLEN/8)+1).W)) 606f1fe8698SLemover val kill = Output(Bool()) // Use for blocked tlb that need sync with other module like icache 6078744445eSMaxpicca-Li val memidx = Output(new MemBlockidxBundle) 608b52348aeSWilliam Wang // do not translate, but still do pmp/pma check 609b52348aeSWilliam Wang val no_translate = Output(Bool()) 6106d5ddbceSLemover val debug = new Bundle { 611ca2f90a6SLemover val pc = Output(UInt(XLEN.W)) 612f1fe8698SLemover val robIdx = Output(new RobPtr) 613ca2f90a6SLemover val isFirstIssue = Output(Bool()) 6146d5ddbceSLemover } 6156d5ddbceSLemover 616f1fe8698SLemover // Maybe Block req needs a kill: for itlb, itlb and icache may not sync, itlb should wait icache to go ahead 6176d5ddbceSLemover override def toPrintable: Printable = { 618f1fe8698SLemover p"vaddr:0x${Hexadecimal(vaddr)} cmd:${cmd} kill:${kill} pc:0x${Hexadecimal(debug.pc)} robIdx:${debug.robIdx}" 6196d5ddbceSLemover } 6206d5ddbceSLemover} 6216d5ddbceSLemover 622b6982e83SLemoverclass TlbExceptionBundle(implicit p: Parameters) extends TlbBundle { 623b6982e83SLemover val ld = Output(Bool()) 624b6982e83SLemover val st = Output(Bool()) 625b6982e83SLemover val instr = Output(Bool()) 626b6982e83SLemover} 627b6982e83SLemover 62803efd994Shappy-lxclass TlbResp(nDups: Int = 1)(implicit p: Parameters) extends TlbBundle { 62903efd994Shappy-lx val paddr = Vec(nDups, Output(UInt(PAddrBits.W))) 630d0de7e4aSpeixiaokun val gpaddr = Vec(nDups, Output(UInt(GPAddrBits.W))) 631ca2f90a6SLemover val miss = Output(Bool()) 63203efd994Shappy-lx val excp = Vec(nDups, new Bundle { 633d0de7e4aSpeixiaokun val gpf = new TlbExceptionBundle() 634b6982e83SLemover val pf = new TlbExceptionBundle() 635b6982e83SLemover val af = new TlbExceptionBundle() 63603efd994Shappy-lx }) 637ca2f90a6SLemover val ptwBack = Output(Bool()) // when ptw back, wake up replay rs's state 6388744445eSMaxpicca-Li val memidx = Output(new MemBlockidxBundle) 6396d5ddbceSLemover 6408744445eSMaxpicca-Li val debug = new Bundle { 6418744445eSMaxpicca-Li val robIdx = Output(new RobPtr) 6428744445eSMaxpicca-Li val isFirstIssue = Output(Bool()) 6438744445eSMaxpicca-Li } 6446d5ddbceSLemover override def toPrintable: Printable = { 64503efd994Shappy-lx p"paddr:0x${Hexadecimal(paddr(0))} miss:${miss} excp.pf: ld:${excp(0).pf.ld} st:${excp(0).pf.st} instr:${excp(0).pf.instr} ptwBack:${ptwBack}" 6466d5ddbceSLemover } 6476d5ddbceSLemover} 6486d5ddbceSLemover 64903efd994Shappy-lxclass TlbRequestIO(nRespDups: Int = 1)(implicit p: Parameters) extends TlbBundle { 6506d5ddbceSLemover val req = DecoupledIO(new TlbReq) 651c3b763d0SYinan Xu val req_kill = Output(Bool()) 65203efd994Shappy-lx val resp = Flipped(DecoupledIO(new TlbResp(nRespDups))) 6536d5ddbceSLemover} 6546d5ddbceSLemover 6556d5ddbceSLemoverclass TlbPtwIO(Width: Int = 1)(implicit p: Parameters) extends TlbBundle { 6566d5ddbceSLemover val req = Vec(Width, DecoupledIO(new PtwReq)) 657d0de7e4aSpeixiaokun val resp = Flipped(DecoupledIO(new PtwRespS2)) 6586d5ddbceSLemover 6596d5ddbceSLemover 6606d5ddbceSLemover override def toPrintable: Printable = { 6616d5ddbceSLemover p"req(0):${req(0).valid} ${req(0).ready} ${req(0).bits} | resp:${resp.valid} ${resp.ready} ${resp.bits}" 6626d5ddbceSLemover } 6636d5ddbceSLemover} 6646d5ddbceSLemover 6658744445eSMaxpicca-Liclass TlbPtwIOwithMemIdx(Width: Int = 1)(implicit p: Parameters) extends TlbBundle { 6668744445eSMaxpicca-Li val req = Vec(Width, DecoupledIO(new PtwReqwithMemIdx)) 667d0de7e4aSpeixiaokun val resp = Flipped(DecoupledIO(new PtwRespS2withMemIdx())) 6688744445eSMaxpicca-Li 6698744445eSMaxpicca-Li 6708744445eSMaxpicca-Li override def toPrintable: Printable = { 6718744445eSMaxpicca-Li p"req(0):${req(0).valid} ${req(0).ready} ${req(0).bits} | resp:${resp.valid} ${resp.ready} ${resp.bits}" 6728744445eSMaxpicca-Li } 6738744445eSMaxpicca-Li} 6748744445eSMaxpicca-Li 675185e6164SHaoyuan Fengclass TlbHintReq(implicit p: Parameters) extends TlbBundle { 676185e6164SHaoyuan Feng val id = Output(UInt(log2Up(loadfiltersize).W)) 677185e6164SHaoyuan Feng val full = Output(Bool()) 678185e6164SHaoyuan Feng} 679185e6164SHaoyuan Feng 680185e6164SHaoyuan Fengclass TLBHintResp(implicit p: Parameters) extends TlbBundle { 681185e6164SHaoyuan Feng val id = Output(UInt(log2Up(loadfiltersize).W)) 682185e6164SHaoyuan Feng // When there are multiple matching entries for PTW resp in filter 683185e6164SHaoyuan Feng // e.g. vaddr 0, 0x80000000. vaddr 1, 0x80010000 684185e6164SHaoyuan Feng // these two vaddrs are not in a same 4K Page, so will send to ptw twice 685185e6164SHaoyuan Feng // However, when ptw resp, if they are in a 1G or 2M huge page 686185e6164SHaoyuan Feng // The two entries will both hit, and both need to replay 687185e6164SHaoyuan Feng val replay_all = Output(Bool()) 688185e6164SHaoyuan Feng} 689185e6164SHaoyuan Feng 690185e6164SHaoyuan Fengclass TlbHintIO(implicit p: Parameters) extends TlbBundle { 691185e6164SHaoyuan Feng val req = Vec(exuParameters.LduCnt, new TlbHintReq) 692185e6164SHaoyuan Feng val resp = ValidIO(new TLBHintResp) 693185e6164SHaoyuan Feng} 694185e6164SHaoyuan Feng 69545f497a4Shappy-lxclass MMUIOBaseBundle(implicit p: Parameters) extends TlbBundle { 696b052b972SLemover val sfence = Input(new SfenceBundle) 697b052b972SLemover val csr = Input(new TlbCsrBundle) 698f1fe8698SLemover 699f1fe8698SLemover def base_connect(sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 700f1fe8698SLemover this.sfence <> sfence 701f1fe8698SLemover this.csr <> csr 702f1fe8698SLemover } 703f1fe8698SLemover 704f1fe8698SLemover // overwrite satp. write satp will cause flushpipe but csr.priv won't 705f1fe8698SLemover // satp will be dealyed several cycles from writing, but csr.priv won't 706f1fe8698SLemover // so inside mmu, these two signals should be divided 707f1fe8698SLemover def base_connect(sfence: SfenceBundle, csr: TlbCsrBundle, satp: TlbSatpBundle) = { 708f1fe8698SLemover this.sfence <> sfence 709f1fe8698SLemover this.csr <> csr 710f1fe8698SLemover this.csr.satp := satp 711f1fe8698SLemover } 712a0301c0dSLemover} 7136d5ddbceSLemover 7148744445eSMaxpicca-Liclass TlbRefilltoMemIO()(implicit p: Parameters) extends TlbBundle { 7158744445eSMaxpicca-Li val valid = Bool() 7168744445eSMaxpicca-Li val memidx = new MemBlockidxBundle 7178744445eSMaxpicca-Li} 7188744445eSMaxpicca-Li 71903efd994Shappy-lxclass TlbIO(Width: Int, nRespDups: Int = 1, q: TLBParameters)(implicit p: Parameters) extends 72045f497a4Shappy-lx MMUIOBaseBundle { 721f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 72203efd994Shappy-lx val requestor = Vec(Width, Flipped(new TlbRequestIO(nRespDups))) 723f1fe8698SLemover val flushPipe = Vec(Width, Input(Bool())) 7248744445eSMaxpicca-Li val ptw = new TlbPtwIOwithMemIdx(Width) 7258744445eSMaxpicca-Li val refill_to_mem = Output(new TlbRefilltoMemIO()) 726a0301c0dSLemover val replace = if (q.outReplace) Flipped(new TlbReplaceIO(Width, q)) else null 727b6982e83SLemover val pmp = Vec(Width, ValidIO(new PMPReqBundle())) 728185e6164SHaoyuan Feng val tlbreplay = Vec(Width, Output(Bool())) 729a0301c0dSLemover} 730a0301c0dSLemover 731f1fe8698SLemoverclass VectorTlbPtwIO(Width: Int)(implicit p: Parameters) extends TlbBundle { 7328744445eSMaxpicca-Li val req = Vec(Width, DecoupledIO(new PtwReqwithMemIdx())) 733a0301c0dSLemover val resp = Flipped(DecoupledIO(new Bundle { 734d0de7e4aSpeixiaokun val data = new PtwRespS2withMemIdx 735a0301c0dSLemover val vector = Output(Vec(Width, Bool())) 736a0301c0dSLemover })) 737a0301c0dSLemover 7388744445eSMaxpicca-Li def connect(normal: TlbPtwIOwithMemIdx): Unit = { 739f1fe8698SLemover req <> normal.req 740f1fe8698SLemover resp.ready := normal.resp.ready 741f1fe8698SLemover normal.resp.bits := resp.bits.data 742f1fe8698SLemover normal.resp.valid := resp.valid 743a0301c0dSLemover } 7446d5ddbceSLemover} 7456d5ddbceSLemover 74692e3bfefSLemover/**************************** L2TLB *************************************/ 7476d5ddbceSLemoverabstract class PtwBundle(implicit p: Parameters) extends XSBundle with HasPtwConst 74892e3bfefSLemoverabstract class PtwModule(outer: L2TLB) extends LazyModuleImp(outer) 7496d5ddbceSLemover with HasXSParameter with HasPtwConst 7506d5ddbceSLemover 7516d5ddbceSLemoverclass PteBundle(implicit p: Parameters) extends PtwBundle{ 7526d5ddbceSLemover val reserved = UInt(pteResLen.W) 7530d94d540SHaoyuan Feng val ppn_high = UInt(ppnHignLen.W) 7546d5ddbceSLemover val ppn = UInt(ppnLen.W) 7556d5ddbceSLemover val rsw = UInt(2.W) 7566d5ddbceSLemover val perm = new Bundle { 7576d5ddbceSLemover val d = Bool() 7586d5ddbceSLemover val a = Bool() 7596d5ddbceSLemover val g = Bool() 7606d5ddbceSLemover val u = Bool() 7616d5ddbceSLemover val x = Bool() 7626d5ddbceSLemover val w = Bool() 7636d5ddbceSLemover val r = Bool() 7646d5ddbceSLemover val v = Bool() 7656d5ddbceSLemover } 7666d5ddbceSLemover 7676d5ddbceSLemover def unaligned(level: UInt) = { 7686d5ddbceSLemover isLeaf() && !(level === 2.U || 7696d5ddbceSLemover level === 1.U && ppn(vpnnLen-1, 0) === 0.U || 7706d5ddbceSLemover level === 0.U && ppn(vpnnLen*2-1, 0) === 0.U) 7716d5ddbceSLemover } 7726d5ddbceSLemover 7736d5ddbceSLemover def isPf(level: UInt) = { 7746d5ddbceSLemover !perm.v || (!perm.r && perm.w) || unaligned(level) 7756d5ddbceSLemover } 7766d5ddbceSLemover 7770d94d540SHaoyuan Feng // paddr of Xiangshan is 36 bits but ppn of sv39 is 44 bits 7780d94d540SHaoyuan Feng // access fault will be raised when ppn >> ppnLen is not zero 7790d94d540SHaoyuan Feng def isAf() = { 7800d94d540SHaoyuan Feng !(ppn_high === 0.U) 7810d94d540SHaoyuan Feng } 7820d94d540SHaoyuan Feng 7836d5ddbceSLemover def isLeaf() = { 7846d5ddbceSLemover perm.r || perm.x || perm.w 7856d5ddbceSLemover } 7866d5ddbceSLemover 7876d5ddbceSLemover def getPerm() = { 7886d5ddbceSLemover val pm = Wire(new PtePermBundle) 7896d5ddbceSLemover pm.d := perm.d 7906d5ddbceSLemover pm.a := perm.a 7916d5ddbceSLemover pm.g := perm.g 7926d5ddbceSLemover pm.u := perm.u 7936d5ddbceSLemover pm.x := perm.x 7946d5ddbceSLemover pm.w := perm.w 7956d5ddbceSLemover pm.r := perm.r 7966d5ddbceSLemover pm 7976d5ddbceSLemover } 7986d5ddbceSLemover 7996d5ddbceSLemover override def toPrintable: Printable = { 8006d5ddbceSLemover p"ppn:0x${Hexadecimal(ppn)} perm:b${Binary(perm.asUInt)}" 8016d5ddbceSLemover } 8026d5ddbceSLemover} 8036d5ddbceSLemover 8046d5ddbceSLemoverclass PtwEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwBundle { 8056d5ddbceSLemover val tag = UInt(tagLen.W) 80645f497a4Shappy-lx val asid = UInt(asidLen.W) 807d0de7e4aSpeixiaokun val vmid = if (HasHExtension) Some(UInt(vmidLen.W)) else None 8086d5ddbceSLemover val ppn = UInt(ppnLen.W) 8096d5ddbceSLemover val perm = if (hasPerm) Some(new PtePermBundle) else None 8106d5ddbceSLemover val level = if (hasLevel) Some(UInt(log2Up(Level).W)) else None 811bc063562SLemover val prefetch = Bool() 8128d8ac704SLemover val v = Bool() 8136d5ddbceSLemover 81456728e73SLemover def is_normalentry(): Bool = { 81556728e73SLemover if (!hasLevel) true.B 81656728e73SLemover else level.get === 2.U 81756728e73SLemover } 81856728e73SLemover 819f1fe8698SLemover def genPPN(vpn: UInt): UInt = { 820f1fe8698SLemover if (!hasLevel) ppn 82145f43e6eSTang Haojin else MuxLookup(level.get, 0.U)(Seq( 822f1fe8698SLemover 0.U -> Cat(ppn(ppn.getWidth-1, vpnnLen*2), vpn(vpnnLen*2-1, 0)), 823f1fe8698SLemover 1.U -> Cat(ppn(ppn.getWidth-1, vpnnLen), vpn(vpnnLen-1, 0)), 824f1fe8698SLemover 2.U -> ppn) 825f1fe8698SLemover ) 826f1fe8698SLemover } 827f1fe8698SLemover 828d0de7e4aSpeixiaokun //s2xlate control whether compare vmid or not 82982978df9Speixiaokun def hit(vpn: UInt, asid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false, s2xlate: Bool) = { 83082978df9Speixiaokun require(vpn.getWidth == vpnLen) 831cccfc98dSLemover// require(this.asid.getWidth <= asid.getWidth) 83245f497a4Shappy-lx val asid_hit = if (ignoreAsid) true.B else (this.asid === asid) 833d61cd5eeSpeixiaokun val vmid_hit = Mux(s2xlate, (this.vmid.getOrElse(0.U) === vmid), true.B) 8346d5ddbceSLemover if (allType) { 8356d5ddbceSLemover require(hasLevel) 8366d5ddbceSLemover val hit0 = tag(tagLen - 1, vpnnLen*2) === vpn(tagLen - 1, vpnnLen*2) 8376d5ddbceSLemover val hit1 = tag(vpnnLen*2 - 1, vpnnLen) === vpn(vpnnLen*2 - 1, vpnnLen) 8386d5ddbceSLemover val hit2 = tag(vpnnLen - 1, 0) === vpn(vpnnLen - 1, 0) 83945f497a4Shappy-lx 840d0de7e4aSpeixiaokun asid_hit && vmid_hit && Mux(level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0)) 8416d5ddbceSLemover } else if (hasLevel) { 84282978df9Speixiaokun val hit0 = tag(tagLen - 1, tagLen - vpnnLen) === vpn(vpnLen - 1, vpnLen - vpnnLen) 84382978df9Speixiaokun val hit1 = tag(tagLen - vpnnLen - 1, tagLen - vpnnLen * 2) === vpn(vpnLen - vpnnLen - 1, vpnLen - vpnnLen * 2) 84445f497a4Shappy-lx 845d0de7e4aSpeixiaokun asid_hit && vmid_hit && Mux(level.getOrElse(0.U) === 0.U, hit0, hit0 && hit1) 8466d5ddbceSLemover } else { 84782978df9Speixiaokun asid_hit && vmid_hit && tag === vpn(vpnLen - 1, vpnLen - tagLen) 8486d5ddbceSLemover } 8496d5ddbceSLemover } 8506d5ddbceSLemover 851d0de7e4aSpeixiaokun def refill(vpn: UInt, asid: UInt, vmid: UInt, pte: UInt, level: UInt = 0.U, prefetch: Bool, valid: Bool = false.B) { 85245f497a4Shappy-lx require(this.asid.getWidth <= asid.getWidth) // maybe equal is better, but ugly outside 85345f497a4Shappy-lx 8546d5ddbceSLemover tag := vpn(vpnLen - 1, vpnLen - tagLen) 855a0301c0dSLemover ppn := pte.asTypeOf(new PteBundle().cloneType).ppn 856a0301c0dSLemover perm.map(_ := pte.asTypeOf(new PteBundle().cloneType).perm) 85745f497a4Shappy-lx this.asid := asid 858d61cd5eeSpeixiaokun this.vmid.map(_ := vmid) 859bc063562SLemover this.prefetch := prefetch 8608d8ac704SLemover this.v := valid 8616d5ddbceSLemover this.level.map(_ := level) 8626d5ddbceSLemover } 8636d5ddbceSLemover 8648d8ac704SLemover def genPtwEntry(vpn: UInt, asid: UInt, pte: UInt, level: UInt = 0.U, prefetch: Bool, valid: Bool = false.B) = { 8656d5ddbceSLemover val e = Wire(new PtwEntry(tagLen, hasPerm, hasLevel)) 8668d8ac704SLemover e.refill(vpn, asid, pte, level, prefetch, valid) 8676d5ddbceSLemover e 8686d5ddbceSLemover } 8696d5ddbceSLemover 8706d5ddbceSLemover 871f1fe8698SLemover 8726d5ddbceSLemover override def toPrintable: Printable = { 8736d5ddbceSLemover // p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} perm:${perm}" 8746d5ddbceSLemover p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} " + 8756d5ddbceSLemover (if (hasPerm) p"perm:${perm.getOrElse(0.U.asTypeOf(new PtePermBundle))} " else p"") + 876bc063562SLemover (if (hasLevel) p"level:${level.getOrElse(0.U)}" else p"") + 877bc063562SLemover p"prefetch:${prefetch}" 8786d5ddbceSLemover } 8796d5ddbceSLemover} 8806d5ddbceSLemover 88163632028SHaoyuan Fengclass PtwSectorEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwEntry(tagLen, hasPerm, hasLevel) { 88263632028SHaoyuan Feng override val ppn = UInt(sectorppnLen.W) 88363632028SHaoyuan Feng} 88463632028SHaoyuan Feng 88563632028SHaoyuan Fengclass PtwMergeEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwSectorEntry(tagLen, hasPerm, hasLevel) { 88663632028SHaoyuan Feng val ppn_low = UInt(sectortlbwidth.W) 88763632028SHaoyuan Feng val af = Bool() 88863632028SHaoyuan Feng val pf = Bool() 88963632028SHaoyuan Feng} 89063632028SHaoyuan Feng 891*cca17e78Speixiaokunclass HptwMergeEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwMergeEntry(tagLen, hasPerm, hasLevel) 892d0de7e4aSpeixiaokun 8936d5ddbceSLemoverclass PtwEntries(num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle { 8946d5ddbceSLemover require(log2Up(num)==log2Down(num)) 8951f4a7c0cSLemover // NOTE: hasPerm means that is leaf or not. 8966d5ddbceSLemover 8976d5ddbceSLemover val tag = UInt(tagLen.W) 89845f497a4Shappy-lx val asid = UInt(asidLen.W) 899d0de7e4aSpeixiaokun val vmid = if (HasHExtension) Some(UInt(vmidLen.W)) else None 900d61cd5eeSpeixiaokun val ppns = if (HasHExtension) Vec(num, UInt((vpnLen.max(ppnLen)).W)) else Vec(num, UInt(ppnLen.W)) 9016d5ddbceSLemover val vs = Vec(num, Bool()) 9026d5ddbceSLemover val perms = if (hasPerm) Some(Vec(num, new PtePermBundle)) else None 903bc063562SLemover val prefetch = Bool() 9046d5ddbceSLemover // println(s"PtwEntries: tag:1*${tagLen} ppns:${num}*${ppnLen} vs:${num}*1") 9051f4a7c0cSLemover // NOTE: vs is used for different usage: 9061f4a7c0cSLemover // for l3, which store the leaf(leaves), vs is page fault or not. 9071f4a7c0cSLemover // for l2, which shoule not store leaf, vs is valid or not, that will anticipate in hit check 9081f4a7c0cSLemover // Because, l2 should not store leaf(no perm), it doesn't store perm. 9091f4a7c0cSLemover // If l2 hit a leaf, the perm is still unavailble. Should still page walk. Complex but nothing helpful. 9101f4a7c0cSLemover // TODO: divide vs into validVec and pfVec 9111f4a7c0cSLemover // for l2: may valid but pf, so no need for page walk, return random pte with pf. 9126d5ddbceSLemover 91382978df9Speixiaokun def tagClip(vpn: UInt) = { 91482978df9Speixiaokun require(vpn.getWidth == vpnLen) 9156d5ddbceSLemover vpn(vpnLen - 1, vpnLen - tagLen) 9166d5ddbceSLemover } 9176d5ddbceSLemover 9186d5ddbceSLemover def sectorIdxClip(vpn: UInt, level: Int) = { 9196d5ddbceSLemover getVpnClip(vpn, level)(log2Up(num) - 1, 0) 9206d5ddbceSLemover } 9216d5ddbceSLemover 92282978df9Speixiaokun def hit(vpn: UInt, asid: UInt, vmid:UInt, ignoreAsid: Boolean = false, s2xlate: Bool) = { 92345f497a4Shappy-lx val asid_hit = if (ignoreAsid) true.B else (this.asid === asid) 924d61cd5eeSpeixiaokun val vmid_hit = Mux(s2xlate, this.vmid.getOrElse(0.U) === vmid, true.B) 92582978df9Speixiaokun asid_hit && vmid_hit && tag === tagClip(vpn) && (if (hasPerm) true.B else vs(sectorIdxClip(vpn, level))) 9266d5ddbceSLemover } 9276d5ddbceSLemover 928d0de7e4aSpeixiaokun def genEntries(vpn: UInt, asid: UInt, vmid: UInt, data: UInt, levelUInt: UInt, prefetch: Bool) = { 9296d5ddbceSLemover require((data.getWidth / XLEN) == num, 9305854c1edSLemover s"input data length must be multiple of pte length: data.length:${data.getWidth} num:${num}") 9316d5ddbceSLemover 9326d5ddbceSLemover val ps = Wire(new PtwEntries(num, tagLen, level, hasPerm)) 9336d5ddbceSLemover ps.tag := tagClip(vpn) 93445f497a4Shappy-lx ps.asid := asid 935d61cd5eeSpeixiaokun ps.vmid.map(_ := vmid) 936bc063562SLemover ps.prefetch := prefetch 9376d5ddbceSLemover for (i <- 0 until num) { 9386d5ddbceSLemover val pte = data((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle) 9396d5ddbceSLemover ps.ppns(i) := pte.ppn 9406d5ddbceSLemover ps.vs(i) := !pte.isPf(levelUInt) && (if (hasPerm) pte.isLeaf() else !pte.isLeaf()) 9416d5ddbceSLemover ps.perms.map(_(i) := pte.perm) 9426d5ddbceSLemover } 9436d5ddbceSLemover ps 9446d5ddbceSLemover } 9456d5ddbceSLemover 9466d5ddbceSLemover override def toPrintable: Printable = { 9476d5ddbceSLemover // require(num == 4, "if num is not 4, please comment this toPrintable") 9486d5ddbceSLemover // NOTE: if num is not 4, please comment this toPrintable 9496d5ddbceSLemover val permsInner = perms.getOrElse(0.U.asTypeOf(Vec(num, new PtePermBundle))) 95045f497a4Shappy-lx p"asid: ${Hexadecimal(asid)} tag:0x${Hexadecimal(tag)} ppns:${printVec(ppns)} vs:${Binary(vs.asUInt)} " + 9516d5ddbceSLemover (if (hasPerm) p"perms:${printVec(permsInner)}" else p"") 9526d5ddbceSLemover } 9536d5ddbceSLemover} 9546d5ddbceSLemover 9557196f5a2SLemoverclass PTWEntriesWithEcc(eccCode: Code, num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle { 9567196f5a2SLemover val entries = new PtwEntries(num, tagLen, level, hasPerm) 9577196f5a2SLemover 9583889e11eSLemover val ecc_block = XLEN 9593889e11eSLemover val ecc_info = get_ecc_info() 9603889e11eSLemover val ecc = UInt(ecc_info._1.W) 9613889e11eSLemover 9623889e11eSLemover def get_ecc_info(): (Int, Int, Int, Int) = { 9633889e11eSLemover val eccBits_per = eccCode.width(ecc_block) - ecc_block 9643889e11eSLemover 9653889e11eSLemover val data_length = entries.getWidth 9663889e11eSLemover val data_align_num = data_length / ecc_block 9673889e11eSLemover val data_not_align = (data_length % ecc_block) != 0 // ugly code 9683889e11eSLemover val data_unalign_length = data_length - data_align_num * ecc_block 9693889e11eSLemover val eccBits_unalign = eccCode.width(data_unalign_length) - data_unalign_length 9703889e11eSLemover 9713889e11eSLemover val eccBits = eccBits_per * data_align_num + eccBits_unalign 9723889e11eSLemover (eccBits, eccBits_per, data_align_num, data_unalign_length) 9733889e11eSLemover } 9743889e11eSLemover 9753889e11eSLemover def encode() = { 976935edac4STang Haojin val data = entries.asUInt 9773889e11eSLemover val ecc_slices = Wire(Vec(ecc_info._3, UInt(ecc_info._2.W))) 9783889e11eSLemover for (i <- 0 until ecc_info._3) { 9793889e11eSLemover ecc_slices(i) := eccCode.encode(data((i+1)*ecc_block-1, i*ecc_block)) >> ecc_block 9803889e11eSLemover } 9813889e11eSLemover if (ecc_info._4 != 0) { 9823889e11eSLemover val ecc_unaligned = eccCode.encode(data(data.getWidth-1, ecc_info._3*ecc_block)) >> ecc_info._4 983935edac4STang Haojin ecc := Cat(ecc_unaligned, ecc_slices.asUInt) 984935edac4STang Haojin } else { ecc := ecc_slices.asUInt } 9853889e11eSLemover } 9863889e11eSLemover 9873889e11eSLemover def decode(): Bool = { 988935edac4STang Haojin val data = entries.asUInt 9893889e11eSLemover val res = Wire(Vec(ecc_info._3 + 1, Bool())) 9903889e11eSLemover for (i <- 0 until ecc_info._3) { 9915197bac8SZiyue-Zhang res(i) := {if (ecc_info._2 != 0) eccCode.decode(Cat(ecc((i+1)*ecc_info._2-1, i*ecc_info._2), data((i+1)*ecc_block-1, i*ecc_block))).error else false.B} 9923889e11eSLemover } 9935197bac8SZiyue-Zhang if (ecc_info._2 != 0 && ecc_info._4 != 0) { 9943889e11eSLemover res(ecc_info._3) := eccCode.decode( 9953889e11eSLemover Cat(ecc(ecc_info._1-1, ecc_info._2*ecc_info._3), data(data.getWidth-1, ecc_info._3*ecc_block))).error 9963889e11eSLemover } else { res(ecc_info._3) := false.B } 9973889e11eSLemover 9983889e11eSLemover Cat(res).orR 9993889e11eSLemover } 10003889e11eSLemover 1001d0de7e4aSpeixiaokun def gen(vpn: UInt, asid: UInt, vmid: UInt, data: UInt, levelUInt: UInt, prefetch: Bool) = { 1002d0de7e4aSpeixiaokun this.entries := entries.genEntries(vpn, asid, vmid, data, levelUInt, prefetch) 10033889e11eSLemover this.encode() 10043889e11eSLemover } 10057196f5a2SLemover} 10067196f5a2SLemover 10076d5ddbceSLemoverclass PtwReq(implicit p: Parameters) extends PtwBundle { 100882978df9Speixiaokun val vpn = UInt(vpnLen.W) //vpn or gvpn 1009d0de7e4aSpeixiaokun val s2xlate = UInt(2.W) // 0 bit: s2xlate, 1 bit: stage 1 or stage 2 1010d61cd5eeSpeixiaokun def hasS2xlate(): Bool = { 1011d61cd5eeSpeixiaokun this.s2xlate =/= noS2xlate 1012d61cd5eeSpeixiaokun } 10136d5ddbceSLemover override def toPrintable: Printable = { 10146d5ddbceSLemover p"vpn:0x${Hexadecimal(vpn)}" 10156d5ddbceSLemover } 10166d5ddbceSLemover} 10176d5ddbceSLemover 10188744445eSMaxpicca-Liclass PtwReqwithMemIdx(implicit p: Parameters) extends PtwReq { 10198744445eSMaxpicca-Li val memidx = new MemBlockidxBundle 10208744445eSMaxpicca-Li} 10218744445eSMaxpicca-Li 10226d5ddbceSLemoverclass PtwResp(implicit p: Parameters) extends PtwBundle { 10236d5ddbceSLemover val entry = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true) 10246d5ddbceSLemover val pf = Bool() 1025b6982e83SLemover val af = Bool() 10266d5ddbceSLemover 102745f497a4Shappy-lx def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, asid: UInt) = { 10285854c1edSLemover this.entry.level.map(_ := level) 10295854c1edSLemover this.entry.tag := vpn 10305854c1edSLemover this.entry.perm.map(_ := pte.getPerm()) 10315854c1edSLemover this.entry.ppn := pte.ppn 1032bc063562SLemover this.entry.prefetch := DontCare 103345f497a4Shappy-lx this.entry.asid := asid 10348d8ac704SLemover this.entry.v := !pf 10355854c1edSLemover this.pf := pf 1036b6982e83SLemover this.af := af 10375854c1edSLemover } 10385854c1edSLemover 10396d5ddbceSLemover override def toPrintable: Printable = { 1040b6982e83SLemover p"entry:${entry} pf:${pf} af:${af}" 10416d5ddbceSLemover } 10426d5ddbceSLemover} 10436d5ddbceSLemover 1044d0de7e4aSpeixiaokunclass HptwResp(implicit p: Parameters) extends PtwBundle { 1045d61cd5eeSpeixiaokun val entry = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true) 1046d0de7e4aSpeixiaokun val gpf = Bool() 1047d0de7e4aSpeixiaokun val gaf = Bool() 1048d0de7e4aSpeixiaokun 1049d0de7e4aSpeixiaokun def apply(gpf: Bool, gaf: Bool, level: UInt, pte: PteBundle, vpn: UInt, vmid: UInt) = { 1050d0de7e4aSpeixiaokun this.entry.level.map(_ := level) 1051d0de7e4aSpeixiaokun this.entry.tag := vpn 1052d0de7e4aSpeixiaokun this.entry.perm.map(_ := pte.getPerm()) 1053d0de7e4aSpeixiaokun this.entry.ppn := pte.ppn 1054d0de7e4aSpeixiaokun this.entry.prefetch := DontCare 1055d0de7e4aSpeixiaokun this.entry.asid := DontCare 1056d61cd5eeSpeixiaokun this.entry.vmid.map(_ := vmid) 1057d0de7e4aSpeixiaokun this.entry.v := !gpf 1058d0de7e4aSpeixiaokun this.gpf := gpf 1059d0de7e4aSpeixiaokun this.gaf := gaf 1060d0de7e4aSpeixiaokun } 1061d0de7e4aSpeixiaokun 1062d0de7e4aSpeixiaokun def genPPNS2(): UInt = { 1063d0de7e4aSpeixiaokun MuxLookup(entry.level.get, 0.U, Seq( 1064d0de7e4aSpeixiaokun 0.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, vpnnLen * 2), entry.tag(vpnnLen * 2 - 1, 0)), 1065d0de7e4aSpeixiaokun 1.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, vpnnLen), entry.tag(vpnnLen - 1, 0)), 1066d0de7e4aSpeixiaokun 2.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, 0)) 1067d0de7e4aSpeixiaokun )) 1068d0de7e4aSpeixiaokun } 1069d0de7e4aSpeixiaokun 1070d0de7e4aSpeixiaokun def hit(gvpn: UInt, vmid: UInt): Bool = { 1071d61cd5eeSpeixiaokun val vmid_hit = this.entry.vmid.getOrElse(0.U) === vmid 1072d61cd5eeSpeixiaokun val hit0 = entry.tag(vpnLen - 1, vpnnLen * 2) === gvpn(vpnLen - 1, vpnnLen * 2) 1073d0de7e4aSpeixiaokun val hit1 = entry.tag(vpnnLen * 2 - 1, vpnnLen) === gvpn(vpnnLen * 2 - 1, vpnnLen) 1074d0de7e4aSpeixiaokun val hit2 = entry.tag(vpnnLen - 1, 0) === gvpn(vpnnLen - 1, 0) 1075d0de7e4aSpeixiaokun vmid_hit && Mux(entry.level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(entry.level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0)) 1076d0de7e4aSpeixiaokun } 1077d0de7e4aSpeixiaokun} 1078d0de7e4aSpeixiaokun 107963632028SHaoyuan Fengclass PtwResptomerge (implicit p: Parameters) extends PtwBundle { 108063632028SHaoyuan Feng val entry = UInt(blockBits.W) 108163632028SHaoyuan Feng val vpn = UInt(vpnLen.W) 108263632028SHaoyuan Feng val level = UInt(log2Up(Level).W) 108363632028SHaoyuan Feng val pf = Bool() 108463632028SHaoyuan Feng val af = Bool() 108563632028SHaoyuan Feng val asid = UInt(asidLen.W) 108663632028SHaoyuan Feng 108763632028SHaoyuan Feng def apply(pf: Bool, af: Bool, level: UInt, pte: UInt, vpn: UInt, asid: UInt) = { 108863632028SHaoyuan Feng this.entry := pte 108963632028SHaoyuan Feng this.pf := pf 109063632028SHaoyuan Feng this.af := af 109163632028SHaoyuan Feng this.level := level 109263632028SHaoyuan Feng this.vpn := vpn 109363632028SHaoyuan Feng this.asid := asid 109463632028SHaoyuan Feng } 109563632028SHaoyuan Feng 109663632028SHaoyuan Feng override def toPrintable: Printable = { 109763632028SHaoyuan Feng p"entry:${entry} pf:${pf} af:${af}" 109863632028SHaoyuan Feng } 109963632028SHaoyuan Feng} 110063632028SHaoyuan Feng 11018744445eSMaxpicca-Liclass PtwRespwithMemIdx(implicit p: Parameters) extends PtwResp { 11028744445eSMaxpicca-Li val memidx = new MemBlockidxBundle 11038744445eSMaxpicca-Li} 11048744445eSMaxpicca-Li 110563632028SHaoyuan Fengclass PtwSectorRespwithMemIdx(implicit p: Parameters) extends PtwSectorResp { 110663632028SHaoyuan Feng val memidx = new MemBlockidxBundle 110763632028SHaoyuan Feng} 110863632028SHaoyuan Feng 110963632028SHaoyuan Fengclass PtwSectorResp(implicit p: Parameters) extends PtwBundle { 111063632028SHaoyuan Feng val entry = new PtwSectorEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true) 111163632028SHaoyuan Feng val addr_low = UInt(sectortlbwidth.W) 111263632028SHaoyuan Feng val ppn_low = Vec(tlbcontiguous, UInt(sectortlbwidth.W)) 111363632028SHaoyuan Feng val valididx = Vec(tlbcontiguous, Bool()) 1114b0fa7106SHaoyuan Feng val pteidx = Vec(tlbcontiguous, Bool()) 111563632028SHaoyuan Feng val pf = Bool() 111663632028SHaoyuan Feng val af = Bool() 111763632028SHaoyuan Feng 1118d0de7e4aSpeixiaokun 111963632028SHaoyuan Feng def genPPN(vpn: UInt): UInt = { 112045f43e6eSTang Haojin MuxLookup(entry.level.get, 0.U)(Seq( 112163632028SHaoyuan Feng 0.U -> Cat(entry.ppn(entry.ppn.getWidth-1, vpnnLen * 2 - sectortlbwidth), vpn(vpnnLen*2-1, 0)), 112263632028SHaoyuan Feng 1.U -> Cat(entry.ppn(entry.ppn.getWidth-1, vpnnLen - sectortlbwidth), vpn(vpnnLen-1, 0)), 112363632028SHaoyuan Feng 2.U -> Cat(entry.ppn(entry.ppn.getWidth-1, 0), ppn_low(vpn(sectortlbwidth - 1, 0)))) 112463632028SHaoyuan Feng ) 112563632028SHaoyuan Feng } 112663632028SHaoyuan Feng 1127d0de7e4aSpeixiaokun def hit(vpn: UInt, asid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false, s2xlate: Bool): Bool = { 112863632028SHaoyuan Feng require(vpn.getWidth == vpnLen) 112963632028SHaoyuan Feng // require(this.asid.getWidth <= asid.getWidth) 113063632028SHaoyuan Feng val asid_hit = if (ignoreAsid) true.B else (this.entry.asid === asid) 1131d61cd5eeSpeixiaokun val vmid_hit = Mux(s2xlate, this.entry.vmid.getOrElse(0.U) === vmid, true.B) 113263632028SHaoyuan Feng if (allType) { 113363632028SHaoyuan Feng val hit0 = entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnLen - 1, vpnnLen * 2) 113463632028SHaoyuan Feng val hit1 = entry.tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen) 113563632028SHaoyuan Feng val hit2 = entry.tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) 113663632028SHaoyuan Feng val addr_low_hit = valididx(vpn(sectortlbwidth - 1, 0)) 113763632028SHaoyuan Feng 1138d0de7e4aSpeixiaokun asid_hit && vmid_hit && Mux(entry.level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(entry.level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0)) && addr_low_hit 113963632028SHaoyuan Feng } else { 114063632028SHaoyuan Feng val hit0 = entry.tag(sectorvpnLen - 1, sectorvpnLen - vpnnLen) === vpn(vpnLen - 1, vpnLen - vpnnLen) 114163632028SHaoyuan Feng val hit1 = entry.tag(sectorvpnLen - vpnnLen - 1, sectorvpnLen - vpnnLen * 2) === vpn(vpnLen - vpnnLen - 1, vpnLen - vpnnLen * 2) 114263632028SHaoyuan Feng val addr_low_hit = valididx(vpn(sectortlbwidth - 1, 0)) 114363632028SHaoyuan Feng 1144d0de7e4aSpeixiaokun asid_hit && vmid_hit && Mux(entry.level.getOrElse(0.U) === 0.U, hit0, hit0 && hit1) && addr_low_hit 114563632028SHaoyuan Feng } 114663632028SHaoyuan Feng } 114763632028SHaoyuan Feng} 114863632028SHaoyuan Feng 114963632028SHaoyuan Fengclass PtwMergeResp(implicit p: Parameters) extends PtwBundle { 115063632028SHaoyuan Feng val entry = Vec(tlbcontiguous, new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 115163632028SHaoyuan Feng val pteidx = Vec(tlbcontiguous, Bool()) 115263632028SHaoyuan Feng val not_super = Bool() 115363632028SHaoyuan Feng 1154d0de7e4aSpeixiaokun def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, asid: UInt, vmid:UInt, addr_low : UInt, not_super : Boolean = true) = { 115563632028SHaoyuan Feng assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 115663632028SHaoyuan Feng 115763632028SHaoyuan Feng val ptw_resp = Wire(new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 115863632028SHaoyuan Feng ptw_resp.ppn := pte.ppn(ppnLen - 1, sectortlbwidth) 115963632028SHaoyuan Feng ptw_resp.ppn_low := pte.ppn(sectortlbwidth - 1, 0) 116063632028SHaoyuan Feng ptw_resp.level.map(_ := level) 116163632028SHaoyuan Feng ptw_resp.perm.map(_ := pte.getPerm()) 116263632028SHaoyuan Feng ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth) 116363632028SHaoyuan Feng ptw_resp.pf := pf 116463632028SHaoyuan Feng ptw_resp.af := af 116563632028SHaoyuan Feng ptw_resp.v := !pf 116663632028SHaoyuan Feng ptw_resp.prefetch := DontCare 116763632028SHaoyuan Feng ptw_resp.asid := asid 116863632028SHaoyuan Feng this.pteidx := UIntToOH(addr_low).asBools 116963632028SHaoyuan Feng this.not_super := not_super.B 1170d0de7e4aSpeixiaokun 1171d0de7e4aSpeixiaokun 117263632028SHaoyuan Feng for (i <- 0 until tlbcontiguous) { 117363632028SHaoyuan Feng this.entry(i) := ptw_resp 117463632028SHaoyuan Feng } 117563632028SHaoyuan Feng } 117663632028SHaoyuan Feng} 11778744445eSMaxpicca-Li 1178d0de7e4aSpeixiaokunclass HptwMergeResp(implicit p: Parameters) extends PtwBundle { 1179d0de7e4aSpeixiaokun val entry = Vec(tlbcontiguous, new HptwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 1180d0de7e4aSpeixiaokun val pteidx = Vec(tlbcontiguous, Bool()) 1181d0de7e4aSpeixiaokun val not_super = Bool() 1182d0de7e4aSpeixiaokun 1183d0de7e4aSpeixiaokun def genPPN(): UInt = { 1184d0de7e4aSpeixiaokun val idx = OHToUInt(pteidx) 1185d0de7e4aSpeixiaokun MuxLookup(entry(idx).level.get, 0.U, Seq( 1186d61cd5eeSpeixiaokun 0.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen * 2 - sectortlbwidth), entry(idx).tag(vpnnLen * 2 - 1, 0)), 1187d61cd5eeSpeixiaokun 1.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen - sectortlbwidth), entry(idx).tag(vpnnLen - 1, 0)), 1188d0de7e4aSpeixiaokun 2.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, 0), entry(idx).ppn_low)) 1189d0de7e4aSpeixiaokun ) 1190d0de7e4aSpeixiaokun } 1191d0de7e4aSpeixiaokun 1192d0de7e4aSpeixiaokun def isAf(): Bool = { 1193d0de7e4aSpeixiaokun val idx = OHToUInt(pteidx) 1194d0de7e4aSpeixiaokun entry(idx).af 1195d0de7e4aSpeixiaokun } 1196d0de7e4aSpeixiaokun 1197d0de7e4aSpeixiaokun def isPf(): Bool = { 1198d0de7e4aSpeixiaokun val idx = OHToUInt(pteidx) 1199d0de7e4aSpeixiaokun entry(idx).pf 1200d0de7e4aSpeixiaokun } 1201d0de7e4aSpeixiaokun 1202d0de7e4aSpeixiaokun def MergeRespToPte(): PteBundle = { 1203d0de7e4aSpeixiaokun val idx = OHToUInt(pteidx) 1204d0de7e4aSpeixiaokun val resp = Wire(new PteBundle()) 1205d0de7e4aSpeixiaokun resp.ppn := Cat(entry(idx).ppn, entry(idx).ppn_low) 1206d61cd5eeSpeixiaokun resp.perm := entry(idx).perm.getOrElse(0.U) 1207d0de7e4aSpeixiaokun resp 1208d0de7e4aSpeixiaokun } 1209d0de7e4aSpeixiaokun 1210d0de7e4aSpeixiaokun def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, vmid: UInt, addr_low: UInt, not_super: Boolean = true) = { 1211d0de7e4aSpeixiaokun assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 1212d0de7e4aSpeixiaokun 1213d0de7e4aSpeixiaokun val ptw_resp = Wire(new HptwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 1214d0de7e4aSpeixiaokun ptw_resp.ppn := pte.ppn(ppnLen - 1, sectortlbwidth) 1215d0de7e4aSpeixiaokun ptw_resp.ppn_low := pte.ppn(sectortlbwidth - 1, 0) 1216d0de7e4aSpeixiaokun ptw_resp.level.map(_ := level) 1217d0de7e4aSpeixiaokun ptw_resp.perm.map(_ := pte.getPerm()) 1218d0de7e4aSpeixiaokun ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth) 1219d0de7e4aSpeixiaokun ptw_resp.pf := pf 1220d0de7e4aSpeixiaokun ptw_resp.af := af 1221d0de7e4aSpeixiaokun ptw_resp.v := !pf 1222d0de7e4aSpeixiaokun ptw_resp.prefetch := DontCare 1223*cca17e78Speixiaokun ptw_resp.vmid.map(_ := vmid) 1224d0de7e4aSpeixiaokun this.pteidx := UIntToOH(addr_low).asBools 1225d0de7e4aSpeixiaokun this.not_super := not_super.B 1226d0de7e4aSpeixiaokun 1227d0de7e4aSpeixiaokun 1228d0de7e4aSpeixiaokun for (i <- 0 until tlbcontiguous) { 1229d0de7e4aSpeixiaokun this.entry(i) := ptw_resp 1230d0de7e4aSpeixiaokun } 1231d0de7e4aSpeixiaokun } 1232d0de7e4aSpeixiaokun} 1233d0de7e4aSpeixiaokun 1234d0de7e4aSpeixiaokunclass PtwRespS2(implicit p: Parameters) extends PtwBundle { 1235d0de7e4aSpeixiaokun val s2xlate = UInt(2.W) 1236d0de7e4aSpeixiaokun val s1 = new PtwSectorResp() 1237d0de7e4aSpeixiaokun val s2 = new HptwResp() 1238d61cd5eeSpeixiaokun def genPPNS2(i: Int):UInt = { 1239d61cd5eeSpeixiaokun val s1ppn = Cat(this.s1.entry.ppn, this.s1.ppn_low(i), 0.U(12.W)).asUInt 1240d61cd5eeSpeixiaokun val s2ppn = this.s2.entry.ppn 1241d61cd5eeSpeixiaokun Mux(s2xlate =/= noS2xlate, s2ppn, s1ppn) 1242d61cd5eeSpeixiaokun } 1243d0de7e4aSpeixiaokun} 1244d0de7e4aSpeixiaokun 1245d0de7e4aSpeixiaokunclass PtwRespS2withMemIdx(implicit p: Parameters) extends PtwRespS2 { 1246d0de7e4aSpeixiaokun val memidx = new MemBlockidxBundle() 1247d0de7e4aSpeixiaokun} 1248d0de7e4aSpeixiaokun 124992e3bfefSLemoverclass L2TLBIO(implicit p: Parameters) extends PtwBundle { 1250f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 12516d5ddbceSLemover val tlb = Vec(PtwWidth, Flipped(new TlbPtwIO)) 12526d5ddbceSLemover val sfence = Input(new SfenceBundle) 1253b6982e83SLemover val csr = new Bundle { 1254b6982e83SLemover val tlb = Input(new TlbCsrBundle) 1255b6982e83SLemover val distribute_csr = Flipped(new DistributedCSRIO) 1256b6982e83SLemover } 12576d5ddbceSLemover} 12586d5ddbceSLemover 1259b848eea5SLemoverclass L2TlbMemReqBundle(implicit p: Parameters) extends PtwBundle { 1260b848eea5SLemover val addr = UInt(PAddrBits.W) 1261b848eea5SLemover val id = UInt(bMemID.W) 1262b848eea5SLemover} 126345f497a4Shappy-lx 126445f497a4Shappy-lxclass L2TlbInnerBundle(implicit p: Parameters) extends PtwReq { 126545f497a4Shappy-lx val source = UInt(bSourceWidth.W) 126645f497a4Shappy-lx} 1267f1fe8698SLemover 1268f1fe8698SLemover 1269f1fe8698SLemoverobject ValidHoldBypass{ 1270f1fe8698SLemover def apply(infire: Bool, outfire: Bool, flush: Bool = false.B) = { 1271f1fe8698SLemover val valid = RegInit(false.B) 1272f1fe8698SLemover when (infire) { valid := true.B } 1273f1fe8698SLemover when (outfire) { valid := false.B } // ATTENTION: order different with ValidHold 1274f1fe8698SLemover when (flush) { valid := false.B } // NOTE: the flush will flush in & out, is that ok? 1275f1fe8698SLemover valid || infire 1276f1fe8698SLemover } 1277f1fe8698SLemover} 12785afdf73cSHaoyuan Feng 12795afdf73cSHaoyuan Fengclass L1TlbDB(implicit p: Parameters) extends TlbBundle { 12805afdf73cSHaoyuan Feng val vpn = UInt(vpnLen.W) 12815afdf73cSHaoyuan Feng} 12825afdf73cSHaoyuan Feng 12835afdf73cSHaoyuan Fengclass PageCacheDB(implicit p: Parameters) extends TlbBundle with HasPtwConst { 12845afdf73cSHaoyuan Feng val vpn = UInt(vpnLen.W) 12855afdf73cSHaoyuan Feng val source = UInt(bSourceWidth.W) 12865afdf73cSHaoyuan Feng val bypassed = Bool() 12875afdf73cSHaoyuan Feng val is_first = Bool() 12885afdf73cSHaoyuan Feng val prefetched = Bool() 12895afdf73cSHaoyuan Feng val prefetch = Bool() 12905afdf73cSHaoyuan Feng val l2Hit = Bool() 12915afdf73cSHaoyuan Feng val l1Hit = Bool() 12925afdf73cSHaoyuan Feng val hit = Bool() 12935afdf73cSHaoyuan Feng} 12945afdf73cSHaoyuan Feng 12955afdf73cSHaoyuan Fengclass PTWDB(implicit p: Parameters) extends TlbBundle with HasPtwConst { 12965afdf73cSHaoyuan Feng val vpn = UInt(vpnLen.W) 12975afdf73cSHaoyuan Feng val source = UInt(bSourceWidth.W) 12985afdf73cSHaoyuan Feng} 12995afdf73cSHaoyuan Feng 13005afdf73cSHaoyuan Fengclass L2TlbPrefetchDB(implicit p: Parameters) extends TlbBundle { 13015afdf73cSHaoyuan Feng val vpn = UInt(vpnLen.W) 13025afdf73cSHaoyuan Feng} 13035afdf73cSHaoyuan Feng 13045afdf73cSHaoyuan Fengclass L2TlbMissQueueDB(implicit p: Parameters) extends TlbBundle { 13055afdf73cSHaoyuan Feng val vpn = UInt(vpnLen.W) 13065afdf73cSHaoyuan Feng} 1307