xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/MMUBundle.scala (revision a0301c0d86a76a8bbed79fab2db5e6571a62b88a)
16d5ddbceSLemover/***************************************************************************************
26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
46d5ddbceSLemover*
56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2.
66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at:
86d5ddbceSLemover*          http://license.coscl.org.cn/MulanPSL2
96d5ddbceSLemover*
106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
136d5ddbceSLemover*
146d5ddbceSLemover* See the Mulan PSL v2 for more details.
156d5ddbceSLemover***************************************************************************************/
166d5ddbceSLemover
176d5ddbceSLemoverpackage xiangshan.cache.mmu
186d5ddbceSLemover
196d5ddbceSLemoverimport chipsalliance.rocketchip.config.Parameters
206d5ddbceSLemoverimport chisel3._
216d5ddbceSLemoverimport chisel3.util._
226d5ddbceSLemoverimport xiangshan._
236d5ddbceSLemoverimport utils._
246d5ddbceSLemoverimport xiangshan.backend.roq.RoqPtr
256d5ddbceSLemoverimport xiangshan.backend.fu.util.HasCSRConst
266d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
276d5ddbceSLemoverimport freechips.rocketchip.tilelink._
286d5ddbceSLemover
296d5ddbceSLemoverabstract class TlbBundle(implicit p: Parameters) extends XSBundle with HasTlbConst
306d5ddbceSLemoverabstract class TlbModule(implicit p: Parameters) extends XSModule with HasTlbConst
316d5ddbceSLemover
32*a0301c0dSLemover
33*a0301c0dSLemover
34*a0301c0dSLemover// case class ITLBKey
35*a0301c0dSLemover// case class LDTLBKey
36*a0301c0dSLemover// case class STTLBKey
37*a0301c0dSLemover
38*a0301c0dSLemoverclass VaBundle(implicit p: Parameters) extends TlbBundle {
39*a0301c0dSLemover  val vpn  = UInt(vpnLen.W)
40*a0301c0dSLemover  val off  = UInt(offLen.W)
41*a0301c0dSLemover}
42*a0301c0dSLemover
436d5ddbceSLemoverclass PtePermBundle(implicit p: Parameters) extends TlbBundle {
446d5ddbceSLemover  val d = Bool()
456d5ddbceSLemover  val a = Bool()
466d5ddbceSLemover  val g = Bool()
476d5ddbceSLemover  val u = Bool()
486d5ddbceSLemover  val x = Bool()
496d5ddbceSLemover  val w = Bool()
506d5ddbceSLemover  val r = Bool()
516d5ddbceSLemover
526d5ddbceSLemover  override def toPrintable: Printable = {
536d5ddbceSLemover    p"d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r}"// +
546d5ddbceSLemover    //(if(hasV) (p"v:${v}") else p"")
556d5ddbceSLemover  }
566d5ddbceSLemover}
576d5ddbceSLemover
586d5ddbceSLemoverclass TlbPermBundle(implicit p: Parameters) extends TlbBundle {
596d5ddbceSLemover  val pf = Bool() // NOTE: if this is true, just raise pf
606d5ddbceSLemover  // pagetable perm (software defined)
616d5ddbceSLemover  val d = Bool()
626d5ddbceSLemover  val a = Bool()
636d5ddbceSLemover  val g = Bool()
646d5ddbceSLemover  val u = Bool()
656d5ddbceSLemover  val x = Bool()
666d5ddbceSLemover  val w = Bool()
676d5ddbceSLemover  val r = Bool()
686d5ddbceSLemover  // pma perm (hardwired)
696d5ddbceSLemover  val pr = Bool() //readable
706d5ddbceSLemover  val pw = Bool() //writeable
716d5ddbceSLemover  val pe = Bool() //executable
726d5ddbceSLemover  val pa = Bool() //atom op permitted
736d5ddbceSLemover  val pi = Bool() //icacheable
746d5ddbceSLemover  val pd = Bool() //dcacheable
756d5ddbceSLemover
766d5ddbceSLemover  override def toPrintable: Printable = {
776d5ddbceSLemover    p"pf:${pf} d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r}"
786d5ddbceSLemover  }
796d5ddbceSLemover}
806d5ddbceSLemover
816d5ddbceSLemover// multi-read && single-write
826d5ddbceSLemover// input is data, output is hot-code(not one-hot)
836d5ddbceSLemoverclass CAMTemplate[T <: Data](val gen: T, val set: Int, val readWidth: Int)(implicit p: Parameters) extends TlbModule {
846d5ddbceSLemover  val io = IO(new Bundle {
856d5ddbceSLemover    val r = new Bundle {
866d5ddbceSLemover      val req = Input(Vec(readWidth, gen))
876d5ddbceSLemover      val resp = Output(Vec(readWidth, Vec(set, Bool())))
886d5ddbceSLemover    }
896d5ddbceSLemover    val w = Input(new Bundle {
906d5ddbceSLemover      val valid = Bool()
916d5ddbceSLemover      val bits = new Bundle {
926d5ddbceSLemover        val index = UInt(log2Up(set).W)
936d5ddbceSLemover        val data = gen
946d5ddbceSLemover      }
956d5ddbceSLemover    })
966d5ddbceSLemover  })
976d5ddbceSLemover
986d5ddbceSLemover  val wordType = UInt(gen.getWidth.W)
996d5ddbceSLemover  val array = Reg(Vec(set, wordType))
1006d5ddbceSLemover
1016d5ddbceSLemover  io.r.resp.zipWithIndex.map{ case (a,i) =>
1026d5ddbceSLemover    a := array.map(io.r.req(i).asUInt === _)
1036d5ddbceSLemover  }
1046d5ddbceSLemover
1056d5ddbceSLemover  when (io.w.valid) {
1066d5ddbceSLemover    array(io.w.bits.index) := io.w.bits.data
1076d5ddbceSLemover  }
1086d5ddbceSLemover}
1096d5ddbceSLemover
1106d5ddbceSLemoverclass TlbSPMeta(implicit p: Parameters) extends TlbBundle {
1116d5ddbceSLemover  val tag = UInt(vpnLen.W) // tag is vpn
1126d5ddbceSLemover  val level = UInt(1.W) // 1 for 2MB, 0 for 1GB
1136d5ddbceSLemover
1146d5ddbceSLemover  def hit(vpn: UInt): Bool = {
1156d5ddbceSLemover    val a = tag(vpnnLen*3-1, vpnnLen*2) === vpn(vpnnLen*3-1, vpnnLen*2)
1166d5ddbceSLemover    val b = tag(vpnnLen*2-1, vpnnLen*1) === vpn(vpnnLen*2-1, vpnnLen*1)
1176d5ddbceSLemover    XSDebug(Mux(level.asBool, a&b, a), p"Hit superpage: hit:${Mux(level.asBool, a&b, a)} tag:${Hexadecimal(tag)} level:${level} a:${a} b:${b} vpn:${Hexadecimal(vpn)}\n")
1186d5ddbceSLemover    Mux(level.asBool, a&b, a)
1196d5ddbceSLemover  }
1206d5ddbceSLemover
1216d5ddbceSLemover  def apply(vpn: UInt, level: UInt) = {
1226d5ddbceSLemover    this.tag := vpn
1236d5ddbceSLemover    this.level := level(0)
1246d5ddbceSLemover
1256d5ddbceSLemover    this
1266d5ddbceSLemover  }
1276d5ddbceSLemover
1286d5ddbceSLemover}
1296d5ddbceSLemover
1306d5ddbceSLemoverclass TlbData(superpage: Boolean = false)(implicit p: Parameters) extends TlbBundle {
1316d5ddbceSLemover  val level = if(superpage) Some(UInt(1.W)) else None // /*2 for 4KB,*/ 1 for 2MB, 0 for 1GB
1326d5ddbceSLemover  val ppn = UInt(ppnLen.W)
1336d5ddbceSLemover  val perm = new TlbPermBundle
1346d5ddbceSLemover
1356d5ddbceSLemover  def genPPN(vpn: UInt): UInt = {
1366d5ddbceSLemover    if (superpage) {
1376d5ddbceSLemover      val insideLevel = level.getOrElse(0.U)
1386d5ddbceSLemover      Mux(insideLevel.asBool, Cat(ppn(ppn.getWidth-1, vpnnLen*1), vpn(vpnnLen*1-1, 0)),
1396d5ddbceSLemover                              Cat(ppn(ppn.getWidth-1, vpnnLen*2), vpn(vpnnLen*2-1, 0)))
1406d5ddbceSLemover    } else {
1416d5ddbceSLemover      ppn
1426d5ddbceSLemover    }
1436d5ddbceSLemover  }
1446d5ddbceSLemover
1456d5ddbceSLemover  def apply(ppn: UInt, level: UInt, perm: UInt, pf: Bool) = {
1466d5ddbceSLemover    this.level.map(_ := level(0))
1476d5ddbceSLemover    this.ppn := ppn
1486d5ddbceSLemover    // refill pagetable perm
1496d5ddbceSLemover    val ptePerm = perm.asTypeOf(new PtePermBundle)
1506d5ddbceSLemover    this.perm.pf:= pf
1516d5ddbceSLemover    this.perm.d := ptePerm.d
1526d5ddbceSLemover    this.perm.a := ptePerm.a
1536d5ddbceSLemover    this.perm.g := ptePerm.g
1546d5ddbceSLemover    this.perm.u := ptePerm.u
1556d5ddbceSLemover    this.perm.x := ptePerm.x
1566d5ddbceSLemover    this.perm.w := ptePerm.w
1576d5ddbceSLemover    this.perm.r := ptePerm.r
1586d5ddbceSLemover
1596d5ddbceSLemover    // get pma perm
1606d5ddbceSLemover    val (pmaMode, accessWidth) = AddressSpace.memmapAddrMatch(Cat(ppn, 0.U(12.W)))
1616d5ddbceSLemover    this.perm.pr := PMAMode.read(pmaMode)
1626d5ddbceSLemover    this.perm.pw := PMAMode.write(pmaMode)
1636d5ddbceSLemover    this.perm.pe := PMAMode.execute(pmaMode)
1646d5ddbceSLemover    this.perm.pa := PMAMode.atomic(pmaMode)
1656d5ddbceSLemover    this.perm.pi := PMAMode.icache(pmaMode)
1666d5ddbceSLemover    this.perm.pd := PMAMode.dcache(pmaMode)
1676d5ddbceSLemover
1686d5ddbceSLemover    this
1696d5ddbceSLemover  }
1706d5ddbceSLemover
1716d5ddbceSLemover  override def toPrintable: Printable = {
1726d5ddbceSLemover    val insideLevel = level.getOrElse(0.U)
1736d5ddbceSLemover    p"level:${insideLevel} ppn:${Hexadecimal(ppn)} perm:${perm}"
1746d5ddbceSLemover  }
1756d5ddbceSLemover
1766d5ddbceSLemover  override def cloneType: this.type = (new TlbData(superpage)).asInstanceOf[this.type]
1776d5ddbceSLemover}
1786d5ddbceSLemover
179*a0301c0dSLemoverclass TlbEntry(pageNormal: Boolean, pageSuper: Boolean)(implicit p: Parameters) extends TlbBundle {
180*a0301c0dSLemover  require(pageNormal || pageSuper)
181*a0301c0dSLemover
182*a0301c0dSLemover  val tag = if (!pageNormal) UInt((vpnLen - vpnnLen).W)
183*a0301c0dSLemover            else UInt(vpnLen.W)
184*a0301c0dSLemover  val level = if (!pageNormal) Some(UInt(1.W))
185*a0301c0dSLemover              else if (!pageSuper) None
186*a0301c0dSLemover              else Some(UInt(2.W))
187*a0301c0dSLemover  val ppn = if (!pageNormal) UInt((ppnLen - vpnnLen).W)
188*a0301c0dSLemover            else UInt(ppnLen.W)
189*a0301c0dSLemover  val perm = new TlbPermBundle
190*a0301c0dSLemover
191*a0301c0dSLemover  def hit(vpn: UInt): Bool = {
192*a0301c0dSLemover    if (!pageSuper) vpn === tag
193*a0301c0dSLemover    else if (!pageNormal) MuxLookup(level.get, false.B, Seq(
194*a0301c0dSLemover      0.U -> (tag(vpnnLen*2-1, vpnnLen) === vpn(vpnLen-1, vpnnLen*2)),
195*a0301c0dSLemover      1.U -> (tag === vpn(vpnLen-1, vpnnLen)),
196*a0301c0dSLemover    ))
197*a0301c0dSLemover    else MuxLookup(level.get, false.B, Seq(
198*a0301c0dSLemover      0.U -> (tag(vpnLen-1, vpnnLen*2) === vpn(vpnLen-1, vpnnLen*2)),
199*a0301c0dSLemover      1.U -> (tag(vpnLen-1, vpnnLen) === vpn(vpnLen-1, vpnnLen)),
200*a0301c0dSLemover      2.U -> (tag === vpn) // if pageNormal is false, this will always be false
201*a0301c0dSLemover    ))
202*a0301c0dSLemover  }
203*a0301c0dSLemover
204*a0301c0dSLemover  def apply(item: PtwResp): TlbEntry = {
205*a0301c0dSLemover    this.tag := {if (pageNormal) item.entry.tag else item.entry.tag(vpnLen-1, vpnnLen)}
206*a0301c0dSLemover    val inner_level = item.entry.level.getOrElse(0.U)
207*a0301c0dSLemover    this.level.map(_ := { if (pageNormal && pageSuper) inner_level
208*a0301c0dSLemover                          else if (pageSuper) inner_level(0)
209*a0301c0dSLemover                          else 0.U})
210*a0301c0dSLemover    this.ppn := { if (!pageNormal) item.entry.ppn(ppnLen-1, vpnnLen)
211*a0301c0dSLemover                  else item.entry.ppn }
212*a0301c0dSLemover    val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType)
213*a0301c0dSLemover    this.perm.pf := item.pf
214*a0301c0dSLemover    this.perm.d := ptePerm.d
215*a0301c0dSLemover    this.perm.a := ptePerm.a
216*a0301c0dSLemover    this.perm.g := ptePerm.g
217*a0301c0dSLemover    this.perm.u := ptePerm.u
218*a0301c0dSLemover    this.perm.x := ptePerm.x
219*a0301c0dSLemover    this.perm.w := ptePerm.w
220*a0301c0dSLemover    this.perm.r := ptePerm.r
221*a0301c0dSLemover
222*a0301c0dSLemover    // get pma perm
223*a0301c0dSLemover    val (pmaMode, accessWidth) = AddressSpace.memmapAddrMatch(Cat(item.entry.ppn, 0.U(12.W)))
224*a0301c0dSLemover    this.perm.pr := PMAMode.read(pmaMode)
225*a0301c0dSLemover    this.perm.pw := PMAMode.write(pmaMode)
226*a0301c0dSLemover    this.perm.pe := PMAMode.execute(pmaMode)
227*a0301c0dSLemover    this.perm.pa := PMAMode.atomic(pmaMode)
228*a0301c0dSLemover    this.perm.pi := PMAMode.icache(pmaMode)
229*a0301c0dSLemover    this.perm.pd := PMAMode.dcache(pmaMode)
230*a0301c0dSLemover
231*a0301c0dSLemover    this
232*a0301c0dSLemover  }
233*a0301c0dSLemover
234*a0301c0dSLemover  def genPPN(vpn: UInt) : UInt = {
235*a0301c0dSLemover    if (!pageSuper) ppn
236*a0301c0dSLemover    else if (!pageNormal) MuxLookup(level.get, 0.U, Seq(
237*a0301c0dSLemover      0.U -> Cat(ppn(ppn.getWidth-1, vpnnLen), vpn(vpnnLen*2-1, 0)),
238*a0301c0dSLemover      1.U -> Cat(ppn, vpn(vpnnLen-1, 0))
239*a0301c0dSLemover    ))
240*a0301c0dSLemover    else MuxLookup(level.get, 0.U, Seq(
241*a0301c0dSLemover      0.U -> Cat(ppn(ppn.getWidth-1, vpnnLen*2), vpn(vpnnLen*2-1, 0)),
242*a0301c0dSLemover      1.U -> Cat(ppn(ppn.getWidth-1, vpnnLen), vpn(vpnnLen-1, 0)),
243*a0301c0dSLemover      2.U -> ppn
244*a0301c0dSLemover    ))
245*a0301c0dSLemover  }
246*a0301c0dSLemover
247*a0301c0dSLemover  override def toPrintable: Printable = {
248*a0301c0dSLemover    val inner_level = level.getOrElse(2.U)
249*a0301c0dSLemover    p"level:${inner_level} vpn:${Hexadecimal(tag)} ppn:${Hexadecimal(ppn)} perm:${perm}"
250*a0301c0dSLemover  }
251*a0301c0dSLemover
252*a0301c0dSLemover  override def cloneType: this.type = (new TlbEntry(pageNormal, pageSuper)).asInstanceOf[this.type]
253*a0301c0dSLemover}
254*a0301c0dSLemover
2556d5ddbceSLemoverobject TlbCmd {
2566d5ddbceSLemover  def read  = "b00".U
2576d5ddbceSLemover  def write = "b01".U
2586d5ddbceSLemover  def exec  = "b10".U
2596d5ddbceSLemover
2606d5ddbceSLemover  def atom_read  = "b100".U // lr
2616d5ddbceSLemover  def atom_write = "b101".U // sc / amo
2626d5ddbceSLemover
2636d5ddbceSLemover  def apply() = UInt(3.W)
2646d5ddbceSLemover  def isRead(a: UInt) = a(1,0)===read
2656d5ddbceSLemover  def isWrite(a: UInt) = a(1,0)===write
2666d5ddbceSLemover  def isExec(a: UInt) = a(1,0)===exec
2676d5ddbceSLemover
2686d5ddbceSLemover  def isAtom(a: UInt) = a(2)
2696d5ddbceSLemover}
2706d5ddbceSLemover
271*a0301c0dSLemoverclass TlbStorageIO(nSets: Int, nWays: Int, ports: Int)(implicit p: Parameters) extends  TlbBundle {
272*a0301c0dSLemover  val r = new Bundle {
273*a0301c0dSLemover    val req = Vec(ports, Flipped(DecoupledIO(new Bundle {
274*a0301c0dSLemover      val vpn = Output(UInt(vpnLen.W))
275*a0301c0dSLemover    })))
276*a0301c0dSLemover    val resp = Vec(ports, ValidIO(new Bundle{
277*a0301c0dSLemover      val hit = Output(Bool())
278*a0301c0dSLemover      val ppn = Output(UInt(ppnLen.W))
279*a0301c0dSLemover      val perm = Output(new TlbPermBundle())
280*a0301c0dSLemover      val hitVec = Output(UInt(nWays.W))
281*a0301c0dSLemover    }))
282*a0301c0dSLemover  }
283*a0301c0dSLemover  val w = Flipped(ValidIO(new Bundle {
284*a0301c0dSLemover    val wayIdx = Output(UInt(log2Up(nWays).W))
285*a0301c0dSLemover    val data = Output(new PtwResp)
286*a0301c0dSLemover  }))
287*a0301c0dSLemover  val victim = new Bundle {
288*a0301c0dSLemover    val out = ValidIO(Output(new TlbEntry(pageNormal = true, pageSuper = false)))
289*a0301c0dSLemover    val in = Flipped(ValidIO(Output(new TlbEntry(pageNormal = true, pageSuper = false))))
290*a0301c0dSLemover  }
291*a0301c0dSLemover  val sfence = Input(new SfenceBundle())
292*a0301c0dSLemover
293*a0301c0dSLemover  def r_req_apply(valid: Bool, vpn: UInt, i: Int): Unit = {
294*a0301c0dSLemover    this.r.req(i).valid := valid
295*a0301c0dSLemover    this.r.req(i).bits.vpn := vpn
296*a0301c0dSLemover  }
297*a0301c0dSLemover
298*a0301c0dSLemover  def r_resp_apply(i: Int) = {
299*a0301c0dSLemover    (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm, this.r.resp(i).bits.hitVec)
300*a0301c0dSLemover  }
301*a0301c0dSLemover
302*a0301c0dSLemover  def w_apply(valid: Bool, wayIdx: UInt, data: PtwResp): Unit = {
303*a0301c0dSLemover    this.w.valid := valid
304*a0301c0dSLemover    this.w.bits.wayIdx := wayIdx
305*a0301c0dSLemover    this.w.bits.data := data
306*a0301c0dSLemover  }
307*a0301c0dSLemover
308*a0301c0dSLemover  override def cloneType: this.type = new TlbStorageIO(nSets, nWays, ports).asInstanceOf[this.type]
309*a0301c0dSLemover}
310*a0301c0dSLemover
311*a0301c0dSLemoverclass ReplaceIO(Width: Int, nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle {
312*a0301c0dSLemover  val access = Flipped(new Bundle {
313*a0301c0dSLemover    val sets = Output(Vec(Width, UInt(log2Up(nSets).W)))
314*a0301c0dSLemover    val touch_ways = Vec(Width, ValidIO(Output(UInt(log2Up(nWays).W))))
315*a0301c0dSLemover  })
316*a0301c0dSLemover
317*a0301c0dSLemover  val refillIdx = Output(UInt(log2Up(nWays).W))
318*a0301c0dSLemover  val chosen_set = Flipped(Output(UInt(log2Up(nSets).W)))
319*a0301c0dSLemover
320*a0301c0dSLemover  def apply_sep(in: Seq[ReplaceIO], vpn: UInt): Unit = {
321*a0301c0dSLemover    for (i <- 0 until Width) {
322*a0301c0dSLemover      this.access.sets(i) := in(i).access.sets(0)
323*a0301c0dSLemover      this.access.touch_ways(i) := in(i).access.touch_ways(0)
324*a0301c0dSLemover      this.chosen_set := get_idx(vpn, nSets)
325*a0301c0dSLemover      in(i).refillIdx := this.refillIdx
326*a0301c0dSLemover    }
327*a0301c0dSLemover  }
328*a0301c0dSLemover}
329*a0301c0dSLemover
330*a0301c0dSLemoverclass TlbReplaceIO(Width: Int, q: TLBParameters)(implicit p: Parameters) extends
331*a0301c0dSLemover  TlbBundle {
332*a0301c0dSLemover  val normalPage = new ReplaceIO(Width, q.normalNSets, q.normalNWays)
333*a0301c0dSLemover  val superPage = new ReplaceIO(Width, q.superNSets, q.superNWays)
334*a0301c0dSLemover
335*a0301c0dSLemover  def apply_sep(in: Seq[TlbReplaceIO], vpn: UInt) = {
336*a0301c0dSLemover    this.normalPage.apply_sep(in.map(_.normalPage), vpn)
337*a0301c0dSLemover    this.superPage.apply_sep(in.map(_.superPage), vpn)
338*a0301c0dSLemover  }
339*a0301c0dSLemover
340*a0301c0dSLemover  override def cloneType = (new TlbReplaceIO(Width, q)).asInstanceOf[this.type]
341*a0301c0dSLemover}
342*a0301c0dSLemover
3436d5ddbceSLemoverclass TlbReq(implicit p: Parameters) extends TlbBundle {
3446d5ddbceSLemover  val vaddr = UInt(VAddrBits.W)
3456d5ddbceSLemover  val cmd = TlbCmd()
3466d5ddbceSLemover  val roqIdx = new RoqPtr
3476d5ddbceSLemover  val debug = new Bundle {
3486d5ddbceSLemover    val pc = UInt(XLEN.W)
3496d5ddbceSLemover    val isFirstIssue = Bool()
3506d5ddbceSLemover  }
3516d5ddbceSLemover
3526d5ddbceSLemover  override def toPrintable: Printable = {
3536d5ddbceSLemover    p"vaddr:0x${Hexadecimal(vaddr)} cmd:${cmd} pc:0x${Hexadecimal(debug.pc)} roqIdx:${roqIdx}"
3546d5ddbceSLemover  }
3556d5ddbceSLemover}
3566d5ddbceSLemover
3576d5ddbceSLemoverclass TlbResp(implicit p: Parameters) extends TlbBundle {
3586d5ddbceSLemover  val paddr = UInt(PAddrBits.W)
3596d5ddbceSLemover  val miss = Bool()
3606d5ddbceSLemover  val mmio = Bool()
3616d5ddbceSLemover  val excp = new Bundle {
3626d5ddbceSLemover    val pf = new Bundle {
3636d5ddbceSLemover      val ld = Bool()
3646d5ddbceSLemover      val st = Bool()
3656d5ddbceSLemover      val instr = Bool()
3666d5ddbceSLemover    }
3676d5ddbceSLemover    val af = new Bundle {
3686d5ddbceSLemover      val ld = Bool()
3696d5ddbceSLemover      val st = Bool()
3706d5ddbceSLemover      val instr = Bool()
3716d5ddbceSLemover    }
3726d5ddbceSLemover  }
3736d5ddbceSLemover  val ptwBack = Bool() // when ptw back, wake up replay rs's state
3746d5ddbceSLemover
3756d5ddbceSLemover  override def toPrintable: Printable = {
3766d5ddbceSLemover    p"paddr:0x${Hexadecimal(paddr)} miss:${miss} excp.pf: ld:${excp.pf.ld} st:${excp.pf.st} instr:${excp.pf.instr} ptwBack:${ptwBack}"
3776d5ddbceSLemover  }
3786d5ddbceSLemover}
3796d5ddbceSLemover
3806d5ddbceSLemoverclass TlbRequestIO()(implicit p: Parameters) extends TlbBundle {
3816d5ddbceSLemover  val req = DecoupledIO(new TlbReq)
3826d5ddbceSLemover  val resp = Flipped(DecoupledIO(new TlbResp))
3836d5ddbceSLemover}
3846d5ddbceSLemover
3856d5ddbceSLemoverclass BlockTlbRequestIO()(implicit p: Parameters) extends TlbBundle {
3866d5ddbceSLemover  val req = DecoupledIO(new TlbReq)
3876d5ddbceSLemover  val resp = Flipped(DecoupledIO(new TlbResp))
3886d5ddbceSLemover}
3896d5ddbceSLemover
3906d5ddbceSLemoverclass TlbPtwIO(Width: Int = 1)(implicit p: Parameters) extends TlbBundle {
3916d5ddbceSLemover  val req = Vec(Width, DecoupledIO(new PtwReq))
3926d5ddbceSLemover  val resp = Flipped(DecoupledIO(new PtwResp))
3936d5ddbceSLemover
3946d5ddbceSLemover  override def cloneType: this.type = (new TlbPtwIO(Width)).asInstanceOf[this.type]
3956d5ddbceSLemover
3966d5ddbceSLemover  override def toPrintable: Printable = {
3976d5ddbceSLemover    p"req(0):${req(0).valid} ${req(0).ready} ${req(0).bits} | resp:${resp.valid} ${resp.ready} ${resp.bits}"
3986d5ddbceSLemover  }
3996d5ddbceSLemover}
4006d5ddbceSLemover
401*a0301c0dSLemoverclass TlbBaseBundle(implicit p: Parameters) extends TlbBundle {
402b052b972SLemover  val sfence = Input(new SfenceBundle)
403b052b972SLemover  val csr = Input(new TlbCsrBundle)
404*a0301c0dSLemover}
4056d5ddbceSLemover
406*a0301c0dSLemoverclass TlbIO(Width: Int, q: TLBParameters)(implicit p: Parameters) extends
407*a0301c0dSLemover  TlbBaseBundle {
408*a0301c0dSLemover  val requestor = Vec(Width, Flipped(new TlbRequestIO))
409*a0301c0dSLemover  val ptw = new TlbPtwIO(Width)
410*a0301c0dSLemover  val replace = if (q.outReplace) Flipped(new TlbReplaceIO(Width, q)) else null
411*a0301c0dSLemover
412*a0301c0dSLemover  override def cloneType: this.type = (new TlbIO(Width, q)).asInstanceOf[this.type]
413*a0301c0dSLemover}
414*a0301c0dSLemover
415*a0301c0dSLemoverclass BTlbPtwIO(Width: Int)(implicit p: Parameters) extends TlbBundle {
416*a0301c0dSLemover  val req = Vec(Width, DecoupledIO(new PtwReq))
417*a0301c0dSLemover  val resp = Flipped(DecoupledIO(new Bundle {
418*a0301c0dSLemover    val data = new PtwResp
419*a0301c0dSLemover    val vector = Output(Vec(Width, Bool()))
420*a0301c0dSLemover  }))
421*a0301c0dSLemover
422*a0301c0dSLemover  override def cloneType: this.type = (new BTlbPtwIO(Width)).asInstanceOf[this.type]
423*a0301c0dSLemover}
424*a0301c0dSLemover/****************************  Bridge TLB *******************************/
425*a0301c0dSLemover
426*a0301c0dSLemoverclass BridgeTLBIO(Width: Int)(implicit p: Parameters) extends TlbBaseBundle {
427*a0301c0dSLemover  val requestor = Vec(Width, Flipped(new TlbPtwIO()))
428*a0301c0dSLemover  val ptw = new BTlbPtwIO(Width)
429*a0301c0dSLemover
430*a0301c0dSLemover  override def cloneType: this.type = (new BridgeTLBIO(Width)).asInstanceOf[this.type]
4316d5ddbceSLemover}
4326d5ddbceSLemover
4336d5ddbceSLemover
4346d5ddbceSLemover/****************************  PTW  *************************************/
4356d5ddbceSLemoverabstract class PtwBundle(implicit p: Parameters) extends XSBundle with HasPtwConst
4366d5ddbceSLemoverabstract class PtwModule(outer: PTW) extends LazyModuleImp(outer)
4376d5ddbceSLemover  with HasXSParameter with HasPtwConst
4386d5ddbceSLemover
4396d5ddbceSLemoverclass PteBundle(implicit p: Parameters) extends PtwBundle{
4406d5ddbceSLemover  val reserved  = UInt(pteResLen.W)
4416d5ddbceSLemover  val ppn  = UInt(ppnLen.W)
4426d5ddbceSLemover  val rsw  = UInt(2.W)
4436d5ddbceSLemover  val perm = new Bundle {
4446d5ddbceSLemover    val d    = Bool()
4456d5ddbceSLemover    val a    = Bool()
4466d5ddbceSLemover    val g    = Bool()
4476d5ddbceSLemover    val u    = Bool()
4486d5ddbceSLemover    val x    = Bool()
4496d5ddbceSLemover    val w    = Bool()
4506d5ddbceSLemover    val r    = Bool()
4516d5ddbceSLemover    val v    = Bool()
4526d5ddbceSLemover  }
4536d5ddbceSLemover
4546d5ddbceSLemover  def unaligned(level: UInt) = {
4556d5ddbceSLemover    isLeaf() && !(level === 2.U ||
4566d5ddbceSLemover                  level === 1.U && ppn(vpnnLen-1,   0) === 0.U ||
4576d5ddbceSLemover                  level === 0.U && ppn(vpnnLen*2-1, 0) === 0.U)
4586d5ddbceSLemover  }
4596d5ddbceSLemover
4606d5ddbceSLemover  def isPf(level: UInt) = {
4616d5ddbceSLemover    !perm.v || (!perm.r && perm.w) || unaligned(level)
4626d5ddbceSLemover  }
4636d5ddbceSLemover
4646d5ddbceSLemover  def isLeaf() = {
4656d5ddbceSLemover    perm.r || perm.x || perm.w
4666d5ddbceSLemover  }
4676d5ddbceSLemover
4686d5ddbceSLemover  def getPerm() = {
4696d5ddbceSLemover    val pm = Wire(new PtePermBundle)
4706d5ddbceSLemover    pm.d := perm.d
4716d5ddbceSLemover    pm.a := perm.a
4726d5ddbceSLemover    pm.g := perm.g
4736d5ddbceSLemover    pm.u := perm.u
4746d5ddbceSLemover    pm.x := perm.x
4756d5ddbceSLemover    pm.w := perm.w
4766d5ddbceSLemover    pm.r := perm.r
4776d5ddbceSLemover    pm
4786d5ddbceSLemover  }
4796d5ddbceSLemover
4806d5ddbceSLemover  override def toPrintable: Printable = {
4816d5ddbceSLemover    p"ppn:0x${Hexadecimal(ppn)} perm:b${Binary(perm.asUInt)}"
4826d5ddbceSLemover  }
4836d5ddbceSLemover}
4846d5ddbceSLemover
4856d5ddbceSLemoverclass PtwEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwBundle {
4866d5ddbceSLemover  val tag = UInt(tagLen.W)
4876d5ddbceSLemover  val ppn = UInt(ppnLen.W)
4886d5ddbceSLemover  val perm = if (hasPerm) Some(new PtePermBundle) else None
4896d5ddbceSLemover  val level = if (hasLevel) Some(UInt(log2Up(Level).W)) else None
4906d5ddbceSLemover
4916d5ddbceSLemover  def hit(vpn: UInt, allType: Boolean = false) = {
4926d5ddbceSLemover    require(vpn.getWidth == vpnLen)
4936d5ddbceSLemover    if (allType) {
4946d5ddbceSLemover      require(hasLevel)
4956d5ddbceSLemover      val hit0 = tag(tagLen - 1,    vpnnLen*2) === vpn(tagLen - 1, vpnnLen*2)
4966d5ddbceSLemover      val hit1 = tag(vpnnLen*2 - 1, vpnnLen)   === vpn(vpnnLen*2 - 1,  vpnnLen)
4976d5ddbceSLemover      val hit2 = tag(vpnnLen - 1,     0)         === vpn(vpnnLen - 1, 0)
4986d5ddbceSLemover      Mux(level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0))
4996d5ddbceSLemover    } else if (hasLevel) {
5006d5ddbceSLemover      val hit0 = tag(tagLen - 1, tagLen - vpnnLen) === vpn(vpnLen - 1, vpnLen - vpnnLen)
5016d5ddbceSLemover      val hit1 = tag(tagLen - vpnnLen - 1, tagLen - vpnnLen * 2) === vpn(vpnLen - vpnnLen - 1, vpnLen - vpnnLen * 2)
5026d5ddbceSLemover      Mux(level.getOrElse(0.U) === 0.U, hit0, hit0 && hit1)
5036d5ddbceSLemover    } else {
5046d5ddbceSLemover      tag === vpn(vpnLen - 1, vpnLen - tagLen)
5056d5ddbceSLemover    }
5066d5ddbceSLemover  }
5076d5ddbceSLemover
5086d5ddbceSLemover  def refill(vpn: UInt, pte: UInt, level: UInt = 0.U) {
5096d5ddbceSLemover    tag := vpn(vpnLen - 1, vpnLen - tagLen)
510*a0301c0dSLemover    ppn := pte.asTypeOf(new PteBundle().cloneType).ppn
511*a0301c0dSLemover    perm.map(_ := pte.asTypeOf(new PteBundle().cloneType).perm)
5126d5ddbceSLemover    this.level.map(_ := level)
5136d5ddbceSLemover  }
5146d5ddbceSLemover
5156d5ddbceSLemover  def genPtwEntry(vpn: UInt, pte: UInt, level: UInt = 0.U) = {
5166d5ddbceSLemover    val e = Wire(new PtwEntry(tagLen, hasPerm, hasLevel))
5176d5ddbceSLemover    e.refill(vpn, pte, level)
5186d5ddbceSLemover    e
5196d5ddbceSLemover  }
5206d5ddbceSLemover
5216d5ddbceSLemover  override def cloneType: this.type = (new PtwEntry(tagLen, hasPerm, hasLevel)).asInstanceOf[this.type]
5226d5ddbceSLemover
5236d5ddbceSLemover  override def toPrintable: Printable = {
5246d5ddbceSLemover    // p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} perm:${perm}"
5256d5ddbceSLemover    p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} " +
5266d5ddbceSLemover      (if (hasPerm) p"perm:${perm.getOrElse(0.U.asTypeOf(new PtePermBundle))} " else p"") +
5276d5ddbceSLemover      (if (hasLevel) p"level:${level.getOrElse(0.U)}" else p"")
5286d5ddbceSLemover  }
5296d5ddbceSLemover}
5306d5ddbceSLemover
5316d5ddbceSLemoverclass PtwEntries(num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle {
5326d5ddbceSLemover  require(log2Up(num)==log2Down(num))
5336d5ddbceSLemover
5346d5ddbceSLemover  val tag  = UInt(tagLen.W)
5356d5ddbceSLemover  val ppns = Vec(num, UInt(ppnLen.W))
5366d5ddbceSLemover  val vs   = Vec(num, Bool())
5376d5ddbceSLemover  val perms = if (hasPerm) Some(Vec(num, new PtePermBundle)) else None
5386d5ddbceSLemover  // println(s"PtwEntries: tag:1*${tagLen} ppns:${num}*${ppnLen} vs:${num}*1")
5396d5ddbceSLemover
5406d5ddbceSLemover  def tagClip(vpn: UInt) = {
5416d5ddbceSLemover    require(vpn.getWidth == vpnLen)
5426d5ddbceSLemover    vpn(vpnLen - 1, vpnLen - tagLen)
5436d5ddbceSLemover  }
5446d5ddbceSLemover
5456d5ddbceSLemover  def sectorIdxClip(vpn: UInt, level: Int) = {
5466d5ddbceSLemover    getVpnClip(vpn, level)(log2Up(num) - 1, 0)
5476d5ddbceSLemover  }
5486d5ddbceSLemover
5496d5ddbceSLemover  def hit(vpn: UInt) = {
5506d5ddbceSLemover    tag === tagClip(vpn) && vs(sectorIdxClip(vpn, level)) // TODO: optimize this. don't need to compare each with tag
5516d5ddbceSLemover  }
5526d5ddbceSLemover
5536d5ddbceSLemover  def genEntries(vpn: UInt, data: UInt, levelUInt: UInt) = {
5546d5ddbceSLemover    require((data.getWidth / XLEN) == num,
5555854c1edSLemover      s"input data length must be multiple of pte length: data.length:${data.getWidth} num:${num}")
5566d5ddbceSLemover
5576d5ddbceSLemover    val ps = Wire(new PtwEntries(num, tagLen, level, hasPerm))
5586d5ddbceSLemover    ps.tag := tagClip(vpn)
5596d5ddbceSLemover    for (i <- 0 until num) {
5606d5ddbceSLemover      val pte = data((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle)
5616d5ddbceSLemover      ps.ppns(i) := pte.ppn
5626d5ddbceSLemover      ps.vs(i)   := !pte.isPf(levelUInt) && (if (hasPerm) pte.isLeaf() else !pte.isLeaf())
5636d5ddbceSLemover      ps.perms.map(_(i) := pte.perm)
5646d5ddbceSLemover    }
5656d5ddbceSLemover    ps
5666d5ddbceSLemover  }
5676d5ddbceSLemover
5686d5ddbceSLemover  override def cloneType: this.type = (new PtwEntries(num, tagLen, level, hasPerm)).asInstanceOf[this.type]
5696d5ddbceSLemover  override def toPrintable: Printable = {
5706d5ddbceSLemover    // require(num == 4, "if num is not 4, please comment this toPrintable")
5716d5ddbceSLemover    // NOTE: if num is not 4, please comment this toPrintable
5726d5ddbceSLemover    val permsInner = perms.getOrElse(0.U.asTypeOf(Vec(num, new PtePermBundle)))
5736d5ddbceSLemover    p"tag:0x${Hexadecimal(tag)} ppns:${printVec(ppns)} vs:${Binary(vs.asUInt)} " +
5746d5ddbceSLemover      (if (hasPerm) p"perms:${printVec(permsInner)}" else p"")
5756d5ddbceSLemover  }
5766d5ddbceSLemover}
5776d5ddbceSLemover
5786d5ddbceSLemoverclass PtwReq(implicit p: Parameters) extends PtwBundle {
5796d5ddbceSLemover  val vpn = UInt(vpnLen.W)
5806d5ddbceSLemover
5816d5ddbceSLemover  override def toPrintable: Printable = {
5826d5ddbceSLemover    p"vpn:0x${Hexadecimal(vpn)}"
5836d5ddbceSLemover  }
5846d5ddbceSLemover}
5856d5ddbceSLemover
5866d5ddbceSLemoverclass PtwResp(implicit p: Parameters) extends PtwBundle {
5876d5ddbceSLemover  val entry = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true)
5886d5ddbceSLemover  val pf  = Bool()
5896d5ddbceSLemover
5905854c1edSLemover  def apply(pf: Bool, level: UInt, pte: PteBundle, vpn: UInt) = {
5915854c1edSLemover    this.entry.level.map(_ := level)
5925854c1edSLemover    this.entry.tag := vpn
5935854c1edSLemover    this.entry.perm.map(_ := pte.getPerm())
5945854c1edSLemover    this.entry.ppn := pte.ppn
5955854c1edSLemover    this.pf := pf
5965854c1edSLemover  }
5975854c1edSLemover
5986d5ddbceSLemover  override def toPrintable: Printable = {
5996d5ddbceSLemover    p"entry:${entry} pf:${pf}"
6006d5ddbceSLemover  }
6016d5ddbceSLemover}
6026d5ddbceSLemover
6036d5ddbceSLemoverclass PtwIO(implicit p: Parameters) extends PtwBundle {
6046d5ddbceSLemover  val tlb = Vec(PtwWidth, Flipped(new TlbPtwIO))
6056d5ddbceSLemover  val sfence = Input(new SfenceBundle)
6066d5ddbceSLemover  val csr = Input(new TlbCsrBundle)
6076d5ddbceSLemover}
6086d5ddbceSLemover
6096d5ddbceSLemoverobject ValidHold {
6106d5ddbceSLemover  def apply(infire: Bool, outfire: Bool, flush: Bool = false.B ) = {
6116d5ddbceSLemover    val valid = RegInit(false.B)
6126d5ddbceSLemover    when (outfire) { valid := false.B }
6136d5ddbceSLemover    when (infire) { valid := true.B }
6146d5ddbceSLemover    when (flush) { valid := false.B } // NOTE: the flush will flush in & out, is that ok?
6156d5ddbceSLemover    valid
6166d5ddbceSLemover  }
6176d5ddbceSLemover}
6186d5ddbceSLemover
6196d5ddbceSLemoverobject OneCycleValid {
6206d5ddbceSLemover  def apply(fire: Bool, flush: Bool = false.B) = {
6216d5ddbceSLemover    val valid = RegInit(false.B)
6226d5ddbceSLemover    when (valid) { valid := false.B }
6236d5ddbceSLemover    when (fire) { valid := true.B }
6246d5ddbceSLemover    when (flush) { valid := false.B }
6256d5ddbceSLemover    valid
6266d5ddbceSLemover  }
6276d5ddbceSLemover}
628