16d5ddbceSLemover/*************************************************************************************** 26d5ddbceSLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 46d5ddbceSLemover* 56d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2. 66d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 76d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at: 86d5ddbceSLemover* http://license.coscl.org.cn/MulanPSL2 96d5ddbceSLemover* 106d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 116d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 126d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 136d5ddbceSLemover* 146d5ddbceSLemover* See the Mulan PSL v2 for more details. 156d5ddbceSLemover***************************************************************************************/ 166d5ddbceSLemover 176d5ddbceSLemoverpackage xiangshan.cache.mmu 186d5ddbceSLemover 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 206d5ddbceSLemoverimport chisel3._ 216d5ddbceSLemoverimport chisel3.util._ 226d5ddbceSLemoverimport xiangshan._ 236d5ddbceSLemoverimport utils._ 243c02ee8fSwakafaimport utility._ 259aca92b9SYinan Xuimport xiangshan.backend.rob.RobPtr 266d5ddbceSLemoverimport xiangshan.backend.fu.util.HasCSRConst 276d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 286d5ddbceSLemoverimport freechips.rocketchip.tilelink._ 295b7ef044SLemoverimport xiangshan.backend.fu.{PMPReqBundle, PMPConfig} 30f1fe8698SLemoverimport xiangshan.backend.fu.PMPBundle 315b7ef044SLemover 326d5ddbceSLemover 336d5ddbceSLemoverabstract class TlbBundle(implicit p: Parameters) extends XSBundle with HasTlbConst 346d5ddbceSLemoverabstract class TlbModule(implicit p: Parameters) extends XSModule with HasTlbConst 356d5ddbceSLemover 36a0301c0dSLemover 376d5ddbceSLemoverclass PtePermBundle(implicit p: Parameters) extends TlbBundle { 386d5ddbceSLemover val d = Bool() 396d5ddbceSLemover val a = Bool() 406d5ddbceSLemover val g = Bool() 416d5ddbceSLemover val u = Bool() 426d5ddbceSLemover val x = Bool() 436d5ddbceSLemover val w = Bool() 446d5ddbceSLemover val r = Bool() 456d5ddbceSLemover 466d5ddbceSLemover override def toPrintable: Printable = { 476d5ddbceSLemover p"d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r}"// + 486d5ddbceSLemover //(if(hasV) (p"v:${v}") else p"") 496d5ddbceSLemover } 506d5ddbceSLemover} 516d5ddbceSLemover 525b7ef044SLemoverclass TlbPMBundle(implicit p: Parameters) extends TlbBundle { 535b7ef044SLemover val r = Bool() 545b7ef044SLemover val w = Bool() 555b7ef044SLemover val x = Bool() 565b7ef044SLemover val c = Bool() 575b7ef044SLemover val atomic = Bool() 585b7ef044SLemover 595b7ef044SLemover def assign_ap(pm: PMPConfig) = { 605b7ef044SLemover r := pm.r 615b7ef044SLemover w := pm.w 625b7ef044SLemover x := pm.x 635b7ef044SLemover c := pm.c 645b7ef044SLemover atomic := pm.atomic 655b7ef044SLemover } 665b7ef044SLemover} 675b7ef044SLemover 686d5ddbceSLemoverclass TlbPermBundle(implicit p: Parameters) extends TlbBundle { 696d5ddbceSLemover val pf = Bool() // NOTE: if this is true, just raise pf 70b6982e83SLemover val af = Bool() // NOTE: if this is true, just raise af 716d5ddbceSLemover // pagetable perm (software defined) 726d5ddbceSLemover val d = Bool() 736d5ddbceSLemover val a = Bool() 746d5ddbceSLemover val g = Bool() 756d5ddbceSLemover val u = Bool() 766d5ddbceSLemover val x = Bool() 776d5ddbceSLemover val w = Bool() 786d5ddbceSLemover val r = Bool() 796d5ddbceSLemover 80f9ac118cSHaoyuan Feng def apply(item: PtwSectorResp) = { 81b0fa7106SHaoyuan Feng val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 82b0fa7106SHaoyuan Feng this.pf := item.pf 83b0fa7106SHaoyuan Feng this.af := item.af 84b0fa7106SHaoyuan Feng this.d := ptePerm.d 85b0fa7106SHaoyuan Feng this.a := ptePerm.a 86b0fa7106SHaoyuan Feng this.g := ptePerm.g 87b0fa7106SHaoyuan Feng this.u := ptePerm.u 88b0fa7106SHaoyuan Feng this.x := ptePerm.x 89b0fa7106SHaoyuan Feng this.w := ptePerm.w 90b0fa7106SHaoyuan Feng this.r := ptePerm.r 91b0fa7106SHaoyuan Feng 92b0fa7106SHaoyuan Feng this 93b0fa7106SHaoyuan Feng } 94d0de7e4aSpeixiaokun 9587d0ba30Speixiaokun def applyS2(item: HptwResp) = { 96d0de7e4aSpeixiaokun val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 97d0de7e4aSpeixiaokun this.pf := item.gpf 98d0de7e4aSpeixiaokun this.af := item.gaf 99d0de7e4aSpeixiaokun this.d := ptePerm.d 100d0de7e4aSpeixiaokun this.a := ptePerm.a 101d0de7e4aSpeixiaokun this.g := ptePerm.g 102d0de7e4aSpeixiaokun this.u := ptePerm.u 103d0de7e4aSpeixiaokun this.x := ptePerm.x 104d0de7e4aSpeixiaokun this.w := ptePerm.w 105d0de7e4aSpeixiaokun this.r := ptePerm.r 106d0de7e4aSpeixiaokun 107d0de7e4aSpeixiaokun this 108d0de7e4aSpeixiaokun } 10987d0ba30Speixiaokun 110b0fa7106SHaoyuan Feng override def toPrintable: Printable = { 111f9ac118cSHaoyuan Feng p"pf:${pf} af:${af} d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r} " 112b0fa7106SHaoyuan Feng } 113b0fa7106SHaoyuan Feng} 114b0fa7106SHaoyuan Feng 115b0fa7106SHaoyuan Fengclass TlbSectorPermBundle(implicit p: Parameters) extends TlbBundle { 116b0fa7106SHaoyuan Feng val pf = Bool() // NOTE: if this is true, just raise pf 117b0fa7106SHaoyuan Feng val af = Bool() // NOTE: if this is true, just raise af 118b0fa7106SHaoyuan Feng // pagetable perm (software defined) 119b0fa7106SHaoyuan Feng val d = Bool() 120b0fa7106SHaoyuan Feng val a = Bool() 121b0fa7106SHaoyuan Feng val g = Bool() 122b0fa7106SHaoyuan Feng val u = Bool() 123b0fa7106SHaoyuan Feng val x = Bool() 124b0fa7106SHaoyuan Feng val w = Bool() 125b0fa7106SHaoyuan Feng val r = Bool() 126b0fa7106SHaoyuan Feng 127f9ac118cSHaoyuan Feng def apply(item: PtwSectorResp) = { 128f1fe8698SLemover val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 129f1fe8698SLemover this.pf := item.pf 130f1fe8698SLemover this.af := item.af 131f1fe8698SLemover this.d := ptePerm.d 132f1fe8698SLemover this.a := ptePerm.a 133f1fe8698SLemover this.g := ptePerm.g 134f1fe8698SLemover this.u := ptePerm.u 135f1fe8698SLemover this.x := ptePerm.x 136f1fe8698SLemover this.w := ptePerm.w 137f1fe8698SLemover this.r := ptePerm.r 138f1fe8698SLemover 139f1fe8698SLemover this 140f1fe8698SLemover } 1416d5ddbceSLemover override def toPrintable: Printable = { 142f9ac118cSHaoyuan Feng p"pf:${pf} af:${af} d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r} " 1436d5ddbceSLemover } 1446d5ddbceSLemover} 1456d5ddbceSLemover 1466d5ddbceSLemover// multi-read && single-write 1476d5ddbceSLemover// input is data, output is hot-code(not one-hot) 1486d5ddbceSLemoverclass CAMTemplate[T <: Data](val gen: T, val set: Int, val readWidth: Int)(implicit p: Parameters) extends TlbModule { 1496d5ddbceSLemover val io = IO(new Bundle { 1506d5ddbceSLemover val r = new Bundle { 1516d5ddbceSLemover val req = Input(Vec(readWidth, gen)) 1526d5ddbceSLemover val resp = Output(Vec(readWidth, Vec(set, Bool()))) 1536d5ddbceSLemover } 1546d5ddbceSLemover val w = Input(new Bundle { 1556d5ddbceSLemover val valid = Bool() 1566d5ddbceSLemover val bits = new Bundle { 1576d5ddbceSLemover val index = UInt(log2Up(set).W) 1586d5ddbceSLemover val data = gen 1596d5ddbceSLemover } 1606d5ddbceSLemover }) 1616d5ddbceSLemover }) 1626d5ddbceSLemover 1636d5ddbceSLemover val wordType = UInt(gen.getWidth.W) 1646d5ddbceSLemover val array = Reg(Vec(set, wordType)) 1656d5ddbceSLemover 1666d5ddbceSLemover io.r.resp.zipWithIndex.map{ case (a,i) => 1676d5ddbceSLemover a := array.map(io.r.req(i).asUInt === _) 1686d5ddbceSLemover } 1696d5ddbceSLemover 1706d5ddbceSLemover when (io.w.valid) { 17176e02f07SLingrui98 array(io.w.bits.index) := io.w.bits.data.asUInt 1726d5ddbceSLemover } 1736d5ddbceSLemover} 1746d5ddbceSLemover 175a0301c0dSLemoverclass TlbEntry(pageNormal: Boolean, pageSuper: Boolean)(implicit p: Parameters) extends TlbBundle { 176a0301c0dSLemover require(pageNormal || pageSuper) 177a0301c0dSLemover 178a0301c0dSLemover val tag = if (!pageNormal) UInt((vpnLen - vpnnLen).W) 179b0fa7106SHaoyuan Feng else UInt(vpnLen.W) 180b0fa7106SHaoyuan Feng val asid = UInt(asidLen.W) 181b0fa7106SHaoyuan Feng val level = if (!pageNormal) Some(UInt(1.W)) 182b0fa7106SHaoyuan Feng else if (!pageSuper) None 183b0fa7106SHaoyuan Feng else Some(UInt(2.W)) 184b0fa7106SHaoyuan Feng val ppn = if (!pageNormal) UInt((ppnLen - vpnnLen).W) 185b0fa7106SHaoyuan Feng else UInt(ppnLen.W) 186b0fa7106SHaoyuan Feng val perm = new TlbPermBundle 187b0fa7106SHaoyuan Feng 188d0de7e4aSpeixiaokun val g_perm = new TlbPermBundle 189d0de7e4aSpeixiaokun val vmid = UInt(vmidLen.W) 190d61cd5eeSpeixiaokun val s2xlate = UInt(2.W) 191d0de7e4aSpeixiaokun 192d0de7e4aSpeixiaokun 193b0fa7106SHaoyuan Feng /** level usage: 194b0fa7106SHaoyuan Feng * !PageSuper: page is only normal, level is None, match all the tag 195b0fa7106SHaoyuan Feng * !PageNormal: page is only super, level is a Bool(), match high 9*2 parts 196b0fa7106SHaoyuan Feng * bits0 0: need mid 9bits 197b0fa7106SHaoyuan Feng * 1: no need mid 9bits 198b0fa7106SHaoyuan Feng * PageSuper && PageNormal: page hold all the three type, 199b0fa7106SHaoyuan Feng * bits0 0: need low 9bits 200b0fa7106SHaoyuan Feng * bits1 0: need mid 9bits 201b0fa7106SHaoyuan Feng */ 202b0fa7106SHaoyuan Feng 203d0de7e4aSpeixiaokun 20482978df9Speixiaokun def hit(vpn: UInt, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, vmid: UInt, hasS2xlate: Bool, onlyS2: Bool): Bool = { 20582978df9Speixiaokun val asid_hit = Mux(hasS2xlate && onlyS2, true.B, if (ignoreAsid) true.B else (this.asid === asid)) 20682978df9Speixiaokun val vmid_hit = Mux(hasS2xlate, this.vmid === vmid, true.B) 207b0fa7106SHaoyuan Feng 208b0fa7106SHaoyuan Feng // NOTE: for timing, dont care low set index bits at hit check 209b0fa7106SHaoyuan Feng // do not need store the low bits actually 210d0de7e4aSpeixiaokun if (!pageSuper) asid_hit && drop_set_equal(vpn, tag, nSets) && vmid_hit 211b0fa7106SHaoyuan Feng else if (!pageNormal) { 212b0fa7106SHaoyuan Feng val tag_match_hi = tag(vpnnLen*2-1, vpnnLen) === vpn(vpnnLen*3-1, vpnnLen*2) 213b0fa7106SHaoyuan Feng val tag_match_mi = tag(vpnnLen-1, 0) === vpn(vpnnLen*2-1, vpnnLen) 214935edac4STang Haojin val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi) 215d0de7e4aSpeixiaokun asid_hit && tag_match && vmid_hit 216b0fa7106SHaoyuan Feng } 217b0fa7106SHaoyuan Feng else { 218b0fa7106SHaoyuan Feng val tmp_level = level.get 219b0fa7106SHaoyuan Feng val tag_match_hi = tag(vpnnLen*3-1, vpnnLen*2) === vpn(vpnnLen*3-1, vpnnLen*2) 220b0fa7106SHaoyuan Feng val tag_match_mi = tag(vpnnLen*2-1, vpnnLen) === vpn(vpnnLen*2-1, vpnnLen) 221b0fa7106SHaoyuan Feng val tag_match_lo = tag(vpnnLen-1, 0) === vpn(vpnnLen-1, 0) // if pageNormal is false, this will always be false 222b0fa7106SHaoyuan Feng val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo) 223d0de7e4aSpeixiaokun asid_hit && tag_match && vmid_hit 224b0fa7106SHaoyuan Feng } 225b0fa7106SHaoyuan Feng } 226b0fa7106SHaoyuan Feng 227d0de7e4aSpeixiaokun def apply(item: PtwRespS2, pm: PMPConfig): TlbEntry = { 228d0de7e4aSpeixiaokun this.asid := item.s1.entry.asid 22982978df9Speixiaokun val inner_level = item.s1.entry.level.getOrElse(0.U) max item.s2.entry.level.getOrElse(0.U) 23045f43e6eSTang Haojin this.level.map(_ := { if (pageNormal && pageSuper) MuxLookup(inner_level, 0.U)(Seq( 231b0fa7106SHaoyuan Feng 0.U -> 3.U, 232b0fa7106SHaoyuan Feng 1.U -> 1.U, 233b0fa7106SHaoyuan Feng 2.U -> 0.U )) 234b0fa7106SHaoyuan Feng else if (pageSuper) ~inner_level(0) 235b0fa7106SHaoyuan Feng else 0.U }) 23682978df9Speixiaokun val s1tag = {if (pageNormal) Cat(item.s1.entry.tag, OHToUInt(item.s1.pteidx)) else item.s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth)} 23782978df9Speixiaokun val s2tag = {if (pageNormal) item.s2.entry.tag else item.s2.entry.tag(vpnLen - 1, vpnnLen)} 23882978df9Speixiaokun this.tag := Mux(item.s2xlate === onlyStage2, s2tag, s1tag) 23982978df9Speixiaokun 24082978df9Speixiaokun val s1ppn = { 24182978df9Speixiaokun if (!pageNormal) item.s1.entry.ppn(sectorppnLen - 1, vpnnLen - sectortlbwidth) 24282978df9Speixiaokun else Cat(item.s1.entry.ppn, item.s1.ppn_low(OHToUInt(item.s1.pteidx))) 24382978df9Speixiaokun } 24482978df9Speixiaokun val s2ppn = { 24582978df9Speixiaokun if (!pageNormal) item.s2.entry.ppn(ppnLen - 1, vpnnLen) 24682978df9Speixiaokun else item.s2.entry.ppn 24782978df9Speixiaokun } 24882978df9Speixiaokun this.ppn := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn, s2ppn) 249d0de7e4aSpeixiaokun this.perm.apply(item.s1) 250d61cd5eeSpeixiaokun this.vmid := item.s1.entry.vmid.getOrElse(0.U) 25187d0ba30Speixiaokun this.g_perm.applyS2(item.s2) 25282978df9Speixiaokun this.s2xlate := item.s2xlate 253b0fa7106SHaoyuan Feng this 254b0fa7106SHaoyuan Feng } 255b0fa7106SHaoyuan Feng 256b0fa7106SHaoyuan Feng // 4KB is normal entry, 2MB/1GB is considered as super entry 257b0fa7106SHaoyuan Feng def is_normalentry(): Bool = { 258b0fa7106SHaoyuan Feng if (!pageSuper) { true.B } 259b0fa7106SHaoyuan Feng else if (!pageNormal) { false.B } 260b0fa7106SHaoyuan Feng else { level.get === 0.U } 261b0fa7106SHaoyuan Feng } 262b0fa7106SHaoyuan Feng 263d0de7e4aSpeixiaokun 264b0fa7106SHaoyuan Feng def genPPN(saveLevel: Boolean = false, valid: Bool = false.B)(vpn: UInt) : UInt = { 265b0fa7106SHaoyuan Feng val inner_level = level.getOrElse(0.U) 266b0fa7106SHaoyuan Feng val ppn_res = if (!pageSuper) ppn 267b0fa7106SHaoyuan Feng else if (!pageNormal) Cat(ppn(ppnLen-vpnnLen-1, vpnnLen), 268b0fa7106SHaoyuan Feng Mux(inner_level(0), vpn(vpnnLen*2-1, vpnnLen), ppn(vpnnLen-1,0)), 269b0fa7106SHaoyuan Feng vpn(vpnnLen-1, 0)) 270b0fa7106SHaoyuan Feng else Cat(ppn(ppnLen-1, vpnnLen*2), 271b0fa7106SHaoyuan Feng Mux(inner_level(1), vpn(vpnnLen*2-1, vpnnLen), ppn(vpnnLen*2-1, vpnnLen)), 272b0fa7106SHaoyuan Feng Mux(inner_level(0), vpn(vpnnLen-1, 0), ppn(vpnnLen-1, 0))) 273b0fa7106SHaoyuan Feng 274b0fa7106SHaoyuan Feng if (saveLevel) Cat(ppn(ppn.getWidth-1, vpnnLen*2), RegEnable(ppn_res(vpnnLen*2-1, 0), valid)) 275b0fa7106SHaoyuan Feng else ppn_res 276b0fa7106SHaoyuan Feng } 277b0fa7106SHaoyuan Feng 278b0fa7106SHaoyuan Feng override def toPrintable: Printable = { 279b0fa7106SHaoyuan Feng val inner_level = level.getOrElse(2.U) 280b0fa7106SHaoyuan Feng p"asid: ${asid} level:${inner_level} vpn:${Hexadecimal(tag)} ppn:${Hexadecimal(ppn)} perm:${perm}" 281b0fa7106SHaoyuan Feng } 282b0fa7106SHaoyuan Feng 283b0fa7106SHaoyuan Feng} 284b0fa7106SHaoyuan Feng 285b0fa7106SHaoyuan Fengclass TlbSectorEntry(pageNormal: Boolean, pageSuper: Boolean)(implicit p: Parameters) extends TlbBundle { 286b0fa7106SHaoyuan Feng require(pageNormal || pageSuper) 287b0fa7106SHaoyuan Feng 288b0fa7106SHaoyuan Feng val tag = if (!pageNormal) UInt((vpnLen - vpnnLen).W) 28963632028SHaoyuan Feng else UInt(sectorvpnLen.W) 29045f497a4Shappy-lx val asid = UInt(asidLen.W) 291a0301c0dSLemover val level = if (!pageNormal) Some(UInt(1.W)) 292a0301c0dSLemover else if (!pageSuper) None 293a0301c0dSLemover else Some(UInt(2.W)) 294a0301c0dSLemover val ppn = if (!pageNormal) UInt((ppnLen - vpnnLen).W) 295d0de7e4aSpeixiaokun else UInt(sectorppnLen.W) //only used when disable s2xlate 296b0fa7106SHaoyuan Feng val perm = new TlbSectorPermBundle 29763632028SHaoyuan Feng val valididx = Vec(tlbcontiguous, Bool()) 298b0fa7106SHaoyuan Feng val pteidx = Vec(tlbcontiguous, Bool()) 29982978df9Speixiaokun val ppn_low = Vec(tlbcontiguous, UInt(sectortlbwidth.W)) 300d0de7e4aSpeixiaokun 301d0de7e4aSpeixiaokun val g_perm = new TlbPermBundle 302d0de7e4aSpeixiaokun val vmid = UInt(vmidLen.W) 303d61cd5eeSpeixiaokun val s2xlate = UInt(2.W) 304d0de7e4aSpeixiaokun 305a0301c0dSLemover 30656728e73SLemover /** level usage: 30756728e73SLemover * !PageSuper: page is only normal, level is None, match all the tag 30856728e73SLemover * !PageNormal: page is only super, level is a Bool(), match high 9*2 parts 30956728e73SLemover * bits0 0: need mid 9bits 31056728e73SLemover * 1: no need mid 9bits 31156728e73SLemover * PageSuper && PageNormal: page hold all the three type, 31256728e73SLemover * bits0 0: need low 9bits 31356728e73SLemover * bits1 0: need mid 9bits 31456728e73SLemover */ 31556728e73SLemover 31686b5ba4aSpeixiaokun def hit(vpn: UInt, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, vmid: UInt, hasS2xlate: Bool, onlyS2: Bool = false.B, onlyS1: Bool = false.B): Bool = { 31782978df9Speixiaokun val asid_hit = Mux(hasS2xlate && onlyS2, true.B, if (ignoreAsid) true.B else (this.asid === asid)) 31863632028SHaoyuan Feng val addr_low_hit = valididx(vpn(2, 0)) 31982978df9Speixiaokun val vmid_hit = Mux(hasS2xlate, this.vmid === vmid, true.B) 32086b5ba4aSpeixiaokun val isPageSuper = !(level.getOrElse(0.U) === 0.U) 32186b5ba4aSpeixiaokun val pteidx_hit = Mux(hasS2xlate && !isPageSuper && !onlyS1, pteidx(vpn(2, 0)), true.B) 322e9092fe2SLemover // NOTE: for timing, dont care low set index bits at hit check 323e9092fe2SLemover // do not need store the low bits actually 324d61cd5eeSpeixiaokun if (!pageSuper) asid_hit && drop_set_equal(vpn(vpn.getWidth - 1, sectortlbwidth), tag, nSets) && addr_low_hit && vmid_hit && pteidx_hit 32556728e73SLemover else if (!pageNormal) { 32656728e73SLemover val tag_match_hi = tag(vpnnLen * 2 - 1, vpnnLen) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 32756728e73SLemover val tag_match_mi = tag(vpnnLen - 1, 0) === vpn(vpnnLen * 2 - 1, vpnnLen) 328935edac4STang Haojin val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi) 329d61cd5eeSpeixiaokun asid_hit && tag_match && addr_low_hit && vmid_hit && pteidx_hit 33056728e73SLemover } 33156728e73SLemover else { 33256728e73SLemover val tmp_level = level.get 33363632028SHaoyuan Feng val tag_match_hi = tag(vpnnLen * 3 - sectortlbwidth - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 33463632028SHaoyuan Feng val tag_match_mi = tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen) 33563632028SHaoyuan Feng val tag_match_lo = tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) // if pageNormal is false, this will always be false 33656728e73SLemover val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo) 337d61cd5eeSpeixiaokun asid_hit && tag_match && addr_low_hit && vmid_hit && pteidx_hit 33856728e73SLemover } 339a0301c0dSLemover } 340a0301c0dSLemover 341933ec998Speixiaokun def wbhit(data: PtwRespS2, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, s2xlate: UInt): Bool = { 342933ec998Speixiaokun val s1vpn = data.s1.entry.tag 343aae99c05Speixiaokun val s2vpn = data.s2.entry.tag(vpnLen - 1, sectortlbwidth) 344933ec998Speixiaokun val wb_vpn = Mux(s2xlate === onlyStage2, s2vpn, s1vpn) 345933ec998Speixiaokun val vpn = Cat(wb_vpn, 0.U(sectortlbwidth.W)) 34663632028SHaoyuan Feng val asid_hit = if (ignoreAsid) true.B else (this.asid === asid) 34763632028SHaoyuan Feng val vpn_hit = Wire(Bool()) 34863632028SHaoyuan Feng val index_hit = Wire(Vec(tlbcontiguous, Bool())) 349933ec998Speixiaokun val wb_valididx = Wire(Vec(tlbcontiguous, Bool())) 350ab093818Speixiaokun val hasS2xlate = this.s2xlate =/= noS2xlate 351ab093818Speixiaokun val onlyS1 = this.s2xlate === onlyStage1 352ab093818Speixiaokun val onlyS2 = this.s2xlate === onlyStage2 353ab093818Speixiaokun val pteidx_hit = MuxCase(true.B, Seq( 354ab093818Speixiaokun onlyS2 -> (VecInit(UIntToOH(data.s2.entry.tag(sectortlbwidth - 1, 0))).asUInt === pteidx.asUInt), 355ab093818Speixiaokun hasS2xlate -> (pteidx.asUInt === data.s1.pteidx.asUInt) 356ab093818Speixiaokun )) 357933ec998Speixiaokun wb_valididx := Mux(s2xlate === onlyStage2, VecInit(UIntToOH(data.s2.entry.tag(sectortlbwidth - 1, 0)).asBools), data.s1.valididx) 358933ec998Speixiaokun val s2xlate_hit = s2xlate === this.s2xlate 35963632028SHaoyuan Feng // NOTE: for timing, dont care low set index bits at hit check 36063632028SHaoyuan Feng // do not need store the low bits actually 36163632028SHaoyuan Feng if (!pageSuper) { 36263632028SHaoyuan Feng vpn_hit := asid_hit && drop_set_equal(vpn(vpn.getWidth - 1, sectortlbwidth), tag, nSets) 36363632028SHaoyuan Feng } 36463632028SHaoyuan Feng else if (!pageNormal) { 36563632028SHaoyuan Feng val tag_match_hi = tag(vpnnLen * 2 - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 36663632028SHaoyuan Feng val tag_match_mi = tag(vpnnLen - 1, 0) === vpn(vpnnLen * 2 - 1, vpnnLen) 367935edac4STang Haojin val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi) 36863632028SHaoyuan Feng vpn_hit := asid_hit && tag_match 36963632028SHaoyuan Feng } 37063632028SHaoyuan Feng else { 37163632028SHaoyuan Feng val tmp_level = level.get 37263632028SHaoyuan Feng val tag_match_hi = tag(vpnnLen * 3 - sectortlbwidth - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 37363632028SHaoyuan Feng val tag_match_mi = tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen) 37463632028SHaoyuan Feng val tag_match_lo = tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) // if pageNormal is false, this will always be false 37563632028SHaoyuan Feng val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo) 37663632028SHaoyuan Feng vpn_hit := asid_hit && tag_match 37763632028SHaoyuan Feng } 37863632028SHaoyuan Feng 37963632028SHaoyuan Feng for (i <- 0 until tlbcontiguous) { 380933ec998Speixiaokun index_hit(i) := wb_valididx(i) && valididx(i) 38163632028SHaoyuan Feng } 38263632028SHaoyuan Feng 38363632028SHaoyuan Feng // For example, tlb req to page cache with vpn 0x10 38463632028SHaoyuan Feng // At this time, 0x13 has not been paged, so page cache only resp 0x10 38563632028SHaoyuan Feng // When 0x13 refill to page cache, previous item will be flushed 38663632028SHaoyuan Feng // Now 0x10 and 0x13 are both valid in page cache 38763632028SHaoyuan Feng // However, when 0x13 refill to tlb, will trigger multi hit 38863632028SHaoyuan Feng // So will only trigger multi-hit when PopCount(data.valididx) = 1 389ab093818Speixiaokun vpn_hit && index_hit.reduce(_ || _) && PopCount(wb_valididx) === 1.U && s2xlate_hit && pteidx_hit 39063632028SHaoyuan Feng } 39163632028SHaoyuan Feng 392d0de7e4aSpeixiaokun def apply(item: PtwRespS2): TlbSectorEntry = { 393d0de7e4aSpeixiaokun this.asid := item.s1.entry.asid 3946f508cb5Speixiaokun val inner_level = MuxLookup(item.s2xlate, 2.U)(Seq( 3957e664aa3Speixiaokun onlyStage1 -> item.s1.entry.level.getOrElse(0.U), 3967e664aa3Speixiaokun onlyStage2 -> item.s2.entry.level.getOrElse(0.U), 3977e664aa3Speixiaokun allStage -> (item.s1.entry.level.getOrElse(0.U) max item.s2.entry.level.getOrElse(0.U)), 3987e664aa3Speixiaokun noS2xlate -> item.s1.entry.level.getOrElse(0.U) 3997e664aa3Speixiaokun )) 40045f43e6eSTang Haojin this.level.map(_ := { if (pageNormal && pageSuper) MuxLookup(inner_level, 0.U)(Seq( 40156728e73SLemover 0.U -> 3.U, 40256728e73SLemover 1.U -> 1.U, 40356728e73SLemover 2.U -> 0.U )) 40456728e73SLemover else if (pageSuper) ~inner_level(0) 405a0301c0dSLemover else 0.U }) 406d0de7e4aSpeixiaokun this.perm.apply(item.s1) 407d0de7e4aSpeixiaokun 408*9cb05b4dSXiaokun-Pei val s1tag = {if (pageNormal) item.s1.entry.tag else item.s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth)} 409*9cb05b4dSXiaokun-Pei val s2tag = {if (pageNormal) item.s2.entry.tag(vpnLen - 1, sectortlbwidth) else item.s2.entry.tag(vpnLen - 1, vpnnLen)} 410*9cb05b4dSXiaokun-Pei // if stage1 page is larger than stage2 page, need to merge s1tag and s2tag. 411*9cb05b4dSXiaokun-Pei val s1tagFix = { 412*9cb05b4dSXiaokun-Pei if (pageNormal){ 413*9cb05b4dSXiaokun-Pei MuxCase(s1tag, Seq( 414*9cb05b4dSXiaokun-Pei (item.s1.entry.level.getOrElse(0.U) === 0.U && item.s2.entry.level.getOrElse(0.U) === 1.U) -> Cat(item.s1.entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), item.s2.entry.tag(vpnnLen * 2 - 1, vpnnLen), 0.U((vpnnLen - sectortlbwidth).W)), 415*9cb05b4dSXiaokun-Pei (item.s1.entry.level.getOrElse(0.U) === 0.U && item.s2.entry.level.getOrElse(0.U) === 2.U) -> Cat(item.s1.entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), item.s2.entry.tag(vpnnLen * 2 - 1, sectortlbwidth)), 416*9cb05b4dSXiaokun-Pei (item.s1.entry.level.getOrElse(0.U) === 1.U && item.s2.entry.level.getOrElse(0.U) === 2.U) -> Cat(item.s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth), item.s2.entry.tag(vpnnLen - 1, sectortlbwidth)) 417*9cb05b4dSXiaokun-Pei )) 418*9cb05b4dSXiaokun-Pei } else { 419*9cb05b4dSXiaokun-Pei MuxCase(s1tag, Seq( 420*9cb05b4dSXiaokun-Pei (item.s1.entry.level.getOrElse(0.U) === 0.U && item.s2.entry.level.getOrElse(0.U) === 1.U) -> Cat(item.s1.entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), item.s2.entry.tag(vpnnLen * 2 - 1, vpnnLen)) 421*9cb05b4dSXiaokun-Pei )) 422*9cb05b4dSXiaokun-Pei }} 423*9cb05b4dSXiaokun-Pei this.tag := Mux(item.s2xlate === onlyStage2, s2tag, Mux(item.s2xlate === allStage, s1tagFix, s1tag)) 42486b5ba4aSpeixiaokun val s2page_pageSuper = item.s2.entry.level.getOrElse(0.U) =/= 2.U 425496c751cSpeixiaokun this.pteidx := Mux(item.s2xlate === onlyStage2, VecInit(UIntToOH(item.s2.entry.tag(sectortlbwidth - 1, 0)).asBools), item.s1.pteidx) 42686b5ba4aSpeixiaokun val s2_valid = Mux(s2page_pageSuper, VecInit(Seq.fill(tlbcontiguous)(true.B)), VecInit(UIntToOH(item.s2.entry.tag(sectortlbwidth - 1, 0)).asBools)) 42786b5ba4aSpeixiaokun this.valididx := Mux(item.s2xlate === onlyStage2, s2_valid, item.s1.valididx) 428*9cb05b4dSXiaokun-Pei // if stage2 page is larger than stage1 page, need to merge s2tag and s2ppn to get a new s2ppn. 42982978df9Speixiaokun val s1ppn = { 43082978df9Speixiaokun if (!pageNormal) item.s1.entry.ppn(sectorppnLen - 1, vpnnLen - sectortlbwidth) else item.s1.entry.ppn 431d0de7e4aSpeixiaokun } 43282978df9Speixiaokun val s1ppn_low = item.s1.ppn_low 43382978df9Speixiaokun val s2ppn = { 4348c34f10bSpeixiaokun if (!pageNormal) 4358c34f10bSpeixiaokun MuxLookup(item.s2.entry.level.getOrElse(0.U), item.s2.entry.ppn(ppnLen - 1, vpnnLen))(Seq( 4368c34f10bSpeixiaokun 0.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen * 2), item.s2.entry.tag(vpnnLen * 2 - 1, vpnnLen)), 4378c34f10bSpeixiaokun )) 4388c34f10bSpeixiaokun else 4398c34f10bSpeixiaokun MuxLookup(item.s2.entry.level.getOrElse(0.U), item.s2.entry.ppn(ppnLen - 1, sectortlbwidth))(Seq( 4408c34f10bSpeixiaokun 0.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen * 2), item.s2.entry.tag(vpnnLen * 2 - 1, sectortlbwidth)), 4418c34f10bSpeixiaokun 1.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen), item.s2.entry.tag(vpnnLen - 1, sectortlbwidth)) 4428c34f10bSpeixiaokun )) 44382978df9Speixiaokun } 4448c34f10bSpeixiaokun val s2ppn_tmp = { 4458c34f10bSpeixiaokun MuxLookup(item.s2.entry.level.getOrElse(0.U), item.s2.entry.ppn(ppnLen - 1, 0))(Seq( 4468c34f10bSpeixiaokun 0.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen * 2), item.s2.entry.tag(vpnnLen * 2 - 1, 0)), 4478c34f10bSpeixiaokun 1.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen), item.s2.entry.tag(vpnnLen - 1, 0)) 4488c34f10bSpeixiaokun )) 4498c34f10bSpeixiaokun } 4508c34f10bSpeixiaokun val s2ppn_low = VecInit(Seq.fill(tlbcontiguous)(s2ppn_tmp(sectortlbwidth - 1, 0))) 45182978df9Speixiaokun this.ppn := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn, s2ppn) 45282978df9Speixiaokun this.ppn_low := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn_low, s2ppn_low) 453d61cd5eeSpeixiaokun this.vmid := item.s1.entry.vmid.getOrElse(0.U) 45487d0ba30Speixiaokun this.g_perm.applyS2(item.s2) 45582978df9Speixiaokun this.s2xlate := item.s2xlate 456a0301c0dSLemover this 457a0301c0dSLemover } 458a0301c0dSLemover 45956728e73SLemover // 4KB is normal entry, 2MB/1GB is considered as super entry 46056728e73SLemover def is_normalentry(): Bool = { 46156728e73SLemover if (!pageSuper) { true.B } 46256728e73SLemover else if (!pageNormal) { false.B } 46356728e73SLemover else { level.get === 0.U } 46456728e73SLemover } 4655cf62c1aSLemover 466d0de7e4aSpeixiaokun 46756728e73SLemover def genPPN(saveLevel: Boolean = false, valid: Bool = false.B)(vpn: UInt) : UInt = { 46856728e73SLemover val inner_level = level.getOrElse(0.U) 46963632028SHaoyuan Feng val ppn_res = if (!pageSuper) Cat(ppn, ppn_low(vpn(sectortlbwidth - 1, 0))) 47056728e73SLemover else if (!pageNormal) Cat(ppn(ppnLen - vpnnLen - 1, vpnnLen), 47156728e73SLemover Mux(inner_level(0), vpn(vpnnLen * 2 - 1, vpnnLen), ppn(vpnnLen - 1,0)), 47256728e73SLemover vpn(vpnnLen - 1, 0)) 47363632028SHaoyuan Feng else Cat(ppn(sectorppnLen - 1, vpnnLen * 2 - sectortlbwidth), 47463632028SHaoyuan Feng Mux(inner_level(1), vpn(vpnnLen * 2 - 1, vpnnLen), ppn(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth)), 47563632028SHaoyuan Feng Mux(inner_level(0), vpn(vpnnLen - 1, 0), Cat(ppn(vpnnLen - sectortlbwidth - 1, 0), ppn_low(vpn(sectortlbwidth - 1, 0))))) 47656728e73SLemover 47763632028SHaoyuan Feng if (saveLevel) { 47863632028SHaoyuan Feng if (ppn.getWidth == ppnLen - vpnnLen) { 47963632028SHaoyuan Feng Cat(ppn(ppn.getWidth - 1, vpnnLen * 2), RegEnable(ppn_res(vpnnLen * 2 - 1, 0), valid)) 48063632028SHaoyuan Feng } else { 48163632028SHaoyuan Feng require(ppn.getWidth == sectorppnLen) 48263632028SHaoyuan Feng Cat(ppn(ppn.getWidth - 1, vpnnLen * 2 - sectortlbwidth), RegEnable(ppn_res(vpnnLen * 2 - 1, 0), valid)) 48363632028SHaoyuan Feng } 48463632028SHaoyuan Feng } 4855cf62c1aSLemover else ppn_res 486a0301c0dSLemover } 487a0301c0dSLemover 488d61cd5eeSpeixiaokun def hasS2xlate(): Bool = { 489d61cd5eeSpeixiaokun this.s2xlate =/= noS2xlate 490d61cd5eeSpeixiaokun } 491d61cd5eeSpeixiaokun 492a0301c0dSLemover override def toPrintable: Printable = { 493a0301c0dSLemover val inner_level = level.getOrElse(2.U) 49445f497a4Shappy-lx p"asid: ${asid} level:${inner_level} vpn:${Hexadecimal(tag)} ppn:${Hexadecimal(ppn)} perm:${perm}" 495a0301c0dSLemover } 496a0301c0dSLemover 497a0301c0dSLemover} 498a0301c0dSLemover 4996d5ddbceSLemoverobject TlbCmd { 5006d5ddbceSLemover def read = "b00".U 5016d5ddbceSLemover def write = "b01".U 5026d5ddbceSLemover def exec = "b10".U 5036d5ddbceSLemover 5046d5ddbceSLemover def atom_read = "b100".U // lr 5056d5ddbceSLemover def atom_write = "b101".U // sc / amo 5066d5ddbceSLemover 5076d5ddbceSLemover def apply() = UInt(3.W) 5086d5ddbceSLemover def isRead(a: UInt) = a(1,0)===read 5096d5ddbceSLemover def isWrite(a: UInt) = a(1,0)===write 5106d5ddbceSLemover def isExec(a: UInt) = a(1,0)===exec 5116d5ddbceSLemover 5126d5ddbceSLemover def isAtom(a: UInt) = a(2) 513a79fef67Swakafa def isAmo(a: UInt) = a===atom_write // NOTE: sc mixed 5146d5ddbceSLemover} 5156d5ddbceSLemover 51603efd994Shappy-lxclass TlbStorageIO(nSets: Int, nWays: Int, ports: Int, nDups: Int = 1)(implicit p: Parameters) extends MMUIOBaseBundle { 517a0301c0dSLemover val r = new Bundle { 518a0301c0dSLemover val req = Vec(ports, Flipped(DecoupledIO(new Bundle { 519a0301c0dSLemover val vpn = Output(UInt(vpnLen.W)) 520875ae3b4SXiaokun-Pei val s2xlate = Output(UInt(2.W)) 521a0301c0dSLemover }))) 522a0301c0dSLemover val resp = Vec(ports, ValidIO(new Bundle{ 523a0301c0dSLemover val hit = Output(Bool()) 52403efd994Shappy-lx val ppn = Vec(nDups, Output(UInt(ppnLen.W))) 525b0fa7106SHaoyuan Feng val perm = Vec(nDups, Output(new TlbSectorPermBundle())) 526d0de7e4aSpeixiaokun val g_perm = Vec(nDups, Output(new TlbPermBundle())) 527d0de7e4aSpeixiaokun val s2xlate = Vec(nDups, Output(UInt(2.W))) 528a0301c0dSLemover })) 529a0301c0dSLemover } 530a0301c0dSLemover val w = Flipped(ValidIO(new Bundle { 531a0301c0dSLemover val wayIdx = Output(UInt(log2Up(nWays).W)) 532d0de7e4aSpeixiaokun val data = Output(new PtwRespS2) 533a0301c0dSLemover })) 5343889e11eSLemover val access = Vec(ports, new ReplaceAccessBundle(nSets, nWays)) 535a0301c0dSLemover 53682978df9Speixiaokun def r_req_apply(valid: Bool, vpn: UInt, i: Int, s2xlate:UInt): Unit = { 537a0301c0dSLemover this.r.req(i).valid := valid 538a0301c0dSLemover this.r.req(i).bits.vpn := vpn 539d0de7e4aSpeixiaokun this.r.req(i).bits.s2xlate := s2xlate 540d0de7e4aSpeixiaokun 541a0301c0dSLemover } 542a0301c0dSLemover 543a0301c0dSLemover def r_resp_apply(i: Int) = { 54482978df9Speixiaokun (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm, this.r.resp(i).bits.g_perm) 545a0301c0dSLemover } 546a0301c0dSLemover 547d0de7e4aSpeixiaokun def w_apply(valid: Bool, wayIdx: UInt, data: PtwRespS2): Unit = { 548a0301c0dSLemover this.w.valid := valid 549a0301c0dSLemover this.w.bits.wayIdx := wayIdx 550a0301c0dSLemover this.w.bits.data := data 551a0301c0dSLemover } 552a0301c0dSLemover 553a0301c0dSLemover} 554a0301c0dSLemover 55503efd994Shappy-lxclass TlbStorageWrapperIO(ports: Int, q: TLBParameters, nDups: Int = 1)(implicit p: Parameters) extends MMUIOBaseBundle { 556f1fe8698SLemover val r = new Bundle { 557f1fe8698SLemover val req = Vec(ports, Flipped(DecoupledIO(new Bundle { 558f1fe8698SLemover val vpn = Output(UInt(vpnLen.W)) 559d0de7e4aSpeixiaokun val s2xlate = Output(UInt(2.W)) 560f1fe8698SLemover }))) 561f1fe8698SLemover val resp = Vec(ports, ValidIO(new Bundle{ 562f1fe8698SLemover val hit = Output(Bool()) 56303efd994Shappy-lx val ppn = Vec(nDups, Output(UInt(ppnLen.W))) 56403efd994Shappy-lx val perm = Vec(nDups, Output(new TlbPermBundle())) 565d0de7e4aSpeixiaokun val g_perm = Vec(nDups, Output(new TlbPermBundle())) 566d0de7e4aSpeixiaokun val s2xlate = Vec(nDups, Output(UInt(2.W))) 567f1fe8698SLemover })) 568f1fe8698SLemover } 569f1fe8698SLemover val w = Flipped(ValidIO(new Bundle { 570d0de7e4aSpeixiaokun val data = Output(new PtwRespS2) 571f1fe8698SLemover })) 572f1fe8698SLemover val replace = if (q.outReplace) Flipped(new TlbReplaceIO(ports, q)) else null 573f1fe8698SLemover 574d0de7e4aSpeixiaokun def r_req_apply(valid: Bool, vpn: UInt, i: Int, s2xlate: UInt): Unit = { 575f1fe8698SLemover this.r.req(i).valid := valid 576f1fe8698SLemover this.r.req(i).bits.vpn := vpn 577d0de7e4aSpeixiaokun this.r.req(i).bits.s2xlate := s2xlate 578f1fe8698SLemover } 579f1fe8698SLemover 580f1fe8698SLemover def r_resp_apply(i: Int) = { 581b436d3b6Speixiaokun (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm, this.r.resp(i).bits.g_perm, this.r.resp(i).bits.s2xlate) 582f1fe8698SLemover } 583f1fe8698SLemover 584d0de7e4aSpeixiaokun def w_apply(valid: Bool, data: PtwRespS2): Unit = { 585f1fe8698SLemover this.w.valid := valid 586f1fe8698SLemover this.w.bits.data := data 587f1fe8698SLemover } 588f1fe8698SLemover} 589f1fe8698SLemover 5903889e11eSLemoverclass ReplaceAccessBundle(nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle { 5913889e11eSLemover val sets = Output(UInt(log2Up(nSets).W)) 5923889e11eSLemover val touch_ways = ValidIO(Output(UInt(log2Up(nWays).W))) 5933889e11eSLemover} 5943889e11eSLemover 595a0301c0dSLemoverclass ReplaceIO(Width: Int, nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle { 5963889e11eSLemover val access = Vec(Width, Flipped(new ReplaceAccessBundle(nSets, nWays))) 597a0301c0dSLemover 598a0301c0dSLemover val refillIdx = Output(UInt(log2Up(nWays).W)) 599a0301c0dSLemover val chosen_set = Flipped(Output(UInt(log2Up(nSets).W))) 600a0301c0dSLemover 601a0301c0dSLemover def apply_sep(in: Seq[ReplaceIO], vpn: UInt): Unit = { 60253b8f1a7SLemover for ((ac_rep, ac_tlb) <- access.zip(in.map(a => a.access.map(b => b)).flatten)) { 60353b8f1a7SLemover ac_rep := ac_tlb 604a0301c0dSLemover } 60553b8f1a7SLemover this.chosen_set := get_set_idx(vpn, nSets) 60653b8f1a7SLemover in.map(a => a.refillIdx := this.refillIdx) 607a0301c0dSLemover } 608a0301c0dSLemover} 609a0301c0dSLemover 610a0301c0dSLemoverclass TlbReplaceIO(Width: Int, q: TLBParameters)(implicit p: Parameters) extends 611a0301c0dSLemover TlbBundle { 612f9ac118cSHaoyuan Feng val page = new ReplaceIO(Width, q.NSets, q.NWays) 613a0301c0dSLemover 614a0301c0dSLemover def apply_sep(in: Seq[TlbReplaceIO], vpn: UInt) = { 615f9ac118cSHaoyuan Feng this.page.apply_sep(in.map(_.page), vpn) 616a0301c0dSLemover } 617a0301c0dSLemover 618a0301c0dSLemover} 619a0301c0dSLemover 6208744445eSMaxpicca-Liclass MemBlockidxBundle(implicit p: Parameters) extends TlbBundle { 6218744445eSMaxpicca-Li val is_ld = Bool() 6228744445eSMaxpicca-Li val is_st = Bool() 6238744445eSMaxpicca-Li val idx = 624e4f69d78Ssfencevma if (VirtualLoadQueueSize >= StoreQueueSize) { 625e4f69d78Ssfencevma val idx = UInt(log2Ceil(VirtualLoadQueueSize).W) 6268744445eSMaxpicca-Li idx 6278744445eSMaxpicca-Li } else { 6288744445eSMaxpicca-Li val idx = UInt(log2Ceil(StoreQueueSize).W) 6298744445eSMaxpicca-Li idx 6308744445eSMaxpicca-Li } 6318744445eSMaxpicca-Li} 6328744445eSMaxpicca-Li 6336d5ddbceSLemoverclass TlbReq(implicit p: Parameters) extends TlbBundle { 634ca2f90a6SLemover val vaddr = Output(UInt(VAddrBits.W)) 635ca2f90a6SLemover val cmd = Output(TlbCmd()) 636d0de7e4aSpeixiaokun val hyperinst = Output(Bool()) 637d0de7e4aSpeixiaokun val hlvx = Output(Bool()) 638ca2f90a6SLemover val size = Output(UInt(log2Ceil(log2Ceil(XLEN/8)+1).W)) 639f1fe8698SLemover val kill = Output(Bool()) // Use for blocked tlb that need sync with other module like icache 6408744445eSMaxpicca-Li val memidx = Output(new MemBlockidxBundle) 641b52348aeSWilliam Wang // do not translate, but still do pmp/pma check 642b52348aeSWilliam Wang val no_translate = Output(Bool()) 6436d5ddbceSLemover val debug = new Bundle { 644ca2f90a6SLemover val pc = Output(UInt(XLEN.W)) 645f1fe8698SLemover val robIdx = Output(new RobPtr) 646ca2f90a6SLemover val isFirstIssue = Output(Bool()) 6476d5ddbceSLemover } 6486d5ddbceSLemover 649f1fe8698SLemover // Maybe Block req needs a kill: for itlb, itlb and icache may not sync, itlb should wait icache to go ahead 6506d5ddbceSLemover override def toPrintable: Printable = { 651f1fe8698SLemover p"vaddr:0x${Hexadecimal(vaddr)} cmd:${cmd} kill:${kill} pc:0x${Hexadecimal(debug.pc)} robIdx:${debug.robIdx}" 6526d5ddbceSLemover } 6536d5ddbceSLemover} 6546d5ddbceSLemover 655b6982e83SLemoverclass TlbExceptionBundle(implicit p: Parameters) extends TlbBundle { 656b6982e83SLemover val ld = Output(Bool()) 657b6982e83SLemover val st = Output(Bool()) 658b6982e83SLemover val instr = Output(Bool()) 659b6982e83SLemover} 660b6982e83SLemover 66103efd994Shappy-lxclass TlbResp(nDups: Int = 1)(implicit p: Parameters) extends TlbBundle { 66203efd994Shappy-lx val paddr = Vec(nDups, Output(UInt(PAddrBits.W))) 663d0de7e4aSpeixiaokun val gpaddr = Vec(nDups, Output(UInt(GPAddrBits.W))) 664ca2f90a6SLemover val miss = Output(Bool()) 66503efd994Shappy-lx val excp = Vec(nDups, new Bundle { 666d0de7e4aSpeixiaokun val gpf = new TlbExceptionBundle() 667b6982e83SLemover val pf = new TlbExceptionBundle() 668b6982e83SLemover val af = new TlbExceptionBundle() 66903efd994Shappy-lx }) 670ca2f90a6SLemover val ptwBack = Output(Bool()) // when ptw back, wake up replay rs's state 6718744445eSMaxpicca-Li val memidx = Output(new MemBlockidxBundle) 6726d5ddbceSLemover 6738744445eSMaxpicca-Li val debug = new Bundle { 6748744445eSMaxpicca-Li val robIdx = Output(new RobPtr) 6758744445eSMaxpicca-Li val isFirstIssue = Output(Bool()) 6768744445eSMaxpicca-Li } 6776d5ddbceSLemover override def toPrintable: Printable = { 67803efd994Shappy-lx p"paddr:0x${Hexadecimal(paddr(0))} miss:${miss} excp.pf: ld:${excp(0).pf.ld} st:${excp(0).pf.st} instr:${excp(0).pf.instr} ptwBack:${ptwBack}" 6796d5ddbceSLemover } 6806d5ddbceSLemover} 6816d5ddbceSLemover 68203efd994Shappy-lxclass TlbRequestIO(nRespDups: Int = 1)(implicit p: Parameters) extends TlbBundle { 6836d5ddbceSLemover val req = DecoupledIO(new TlbReq) 684c3b763d0SYinan Xu val req_kill = Output(Bool()) 68503efd994Shappy-lx val resp = Flipped(DecoupledIO(new TlbResp(nRespDups))) 6866d5ddbceSLemover} 6876d5ddbceSLemover 6886d5ddbceSLemoverclass TlbPtwIO(Width: Int = 1)(implicit p: Parameters) extends TlbBundle { 6896d5ddbceSLemover val req = Vec(Width, DecoupledIO(new PtwReq)) 690d0de7e4aSpeixiaokun val resp = Flipped(DecoupledIO(new PtwRespS2)) 6916d5ddbceSLemover 6926d5ddbceSLemover 6936d5ddbceSLemover override def toPrintable: Printable = { 6946d5ddbceSLemover p"req(0):${req(0).valid} ${req(0).ready} ${req(0).bits} | resp:${resp.valid} ${resp.ready} ${resp.bits}" 6956d5ddbceSLemover } 6966d5ddbceSLemover} 6976d5ddbceSLemover 6988744445eSMaxpicca-Liclass TlbPtwIOwithMemIdx(Width: Int = 1)(implicit p: Parameters) extends TlbBundle { 6998744445eSMaxpicca-Li val req = Vec(Width, DecoupledIO(new PtwReqwithMemIdx)) 700d0de7e4aSpeixiaokun val resp = Flipped(DecoupledIO(new PtwRespS2withMemIdx())) 7018744445eSMaxpicca-Li 7028744445eSMaxpicca-Li 7038744445eSMaxpicca-Li override def toPrintable: Printable = { 7048744445eSMaxpicca-Li p"req(0):${req(0).valid} ${req(0).ready} ${req(0).bits} | resp:${resp.valid} ${resp.ready} ${resp.bits}" 7058744445eSMaxpicca-Li } 7068744445eSMaxpicca-Li} 7078744445eSMaxpicca-Li 708185e6164SHaoyuan Fengclass TlbHintReq(implicit p: Parameters) extends TlbBundle { 709185e6164SHaoyuan Feng val id = Output(UInt(log2Up(loadfiltersize).W)) 710185e6164SHaoyuan Feng val full = Output(Bool()) 711185e6164SHaoyuan Feng} 712185e6164SHaoyuan Feng 713185e6164SHaoyuan Fengclass TLBHintResp(implicit p: Parameters) extends TlbBundle { 714185e6164SHaoyuan Feng val id = Output(UInt(log2Up(loadfiltersize).W)) 715185e6164SHaoyuan Feng // When there are multiple matching entries for PTW resp in filter 716185e6164SHaoyuan Feng // e.g. vaddr 0, 0x80000000. vaddr 1, 0x80010000 717185e6164SHaoyuan Feng // these two vaddrs are not in a same 4K Page, so will send to ptw twice 718185e6164SHaoyuan Feng // However, when ptw resp, if they are in a 1G or 2M huge page 719185e6164SHaoyuan Feng // The two entries will both hit, and both need to replay 720185e6164SHaoyuan Feng val replay_all = Output(Bool()) 721185e6164SHaoyuan Feng} 722185e6164SHaoyuan Feng 723185e6164SHaoyuan Fengclass TlbHintIO(implicit p: Parameters) extends TlbBundle { 72471489510SXuan Hu val req = Vec(backendParams.LdExuCnt, new TlbHintReq) 725185e6164SHaoyuan Feng val resp = ValidIO(new TLBHintResp) 726185e6164SHaoyuan Feng} 727185e6164SHaoyuan Feng 72845f497a4Shappy-lxclass MMUIOBaseBundle(implicit p: Parameters) extends TlbBundle { 729b052b972SLemover val sfence = Input(new SfenceBundle) 730b052b972SLemover val csr = Input(new TlbCsrBundle) 731f1fe8698SLemover 732f1fe8698SLemover def base_connect(sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 733f1fe8698SLemover this.sfence <> sfence 734f1fe8698SLemover this.csr <> csr 735f1fe8698SLemover } 736f1fe8698SLemover 737f1fe8698SLemover // overwrite satp. write satp will cause flushpipe but csr.priv won't 738f1fe8698SLemover // satp will be dealyed several cycles from writing, but csr.priv won't 739f1fe8698SLemover // so inside mmu, these two signals should be divided 740f1fe8698SLemover def base_connect(sfence: SfenceBundle, csr: TlbCsrBundle, satp: TlbSatpBundle) = { 741f1fe8698SLemover this.sfence <> sfence 742f1fe8698SLemover this.csr <> csr 743f1fe8698SLemover this.csr.satp := satp 744f1fe8698SLemover } 745a0301c0dSLemover} 7466d5ddbceSLemover 7478744445eSMaxpicca-Liclass TlbRefilltoMemIO()(implicit p: Parameters) extends TlbBundle { 7488744445eSMaxpicca-Li val valid = Bool() 7498744445eSMaxpicca-Li val memidx = new MemBlockidxBundle 7508744445eSMaxpicca-Li} 7518744445eSMaxpicca-Li 75203efd994Shappy-lxclass TlbIO(Width: Int, nRespDups: Int = 1, q: TLBParameters)(implicit p: Parameters) extends 75345f497a4Shappy-lx MMUIOBaseBundle { 754f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 75503efd994Shappy-lx val requestor = Vec(Width, Flipped(new TlbRequestIO(nRespDups))) 756f1fe8698SLemover val flushPipe = Vec(Width, Input(Bool())) 757a4f9c77fSpeixiaokun val redirect = Flipped(ValidIO(new Redirect)) // flush the signal need_gpa in tlb 7588744445eSMaxpicca-Li val ptw = new TlbPtwIOwithMemIdx(Width) 7598744445eSMaxpicca-Li val refill_to_mem = Output(new TlbRefilltoMemIO()) 760a0301c0dSLemover val replace = if (q.outReplace) Flipped(new TlbReplaceIO(Width, q)) else null 761b6982e83SLemover val pmp = Vec(Width, ValidIO(new PMPReqBundle())) 762185e6164SHaoyuan Feng val tlbreplay = Vec(Width, Output(Bool())) 763a0301c0dSLemover} 764a0301c0dSLemover 765f1fe8698SLemoverclass VectorTlbPtwIO(Width: Int)(implicit p: Parameters) extends TlbBundle { 7668744445eSMaxpicca-Li val req = Vec(Width, DecoupledIO(new PtwReqwithMemIdx())) 767a0301c0dSLemover val resp = Flipped(DecoupledIO(new Bundle { 768d0de7e4aSpeixiaokun val data = new PtwRespS2withMemIdx 769a0301c0dSLemover val vector = Output(Vec(Width, Bool())) 770a4f9c77fSpeixiaokun val getGpa = Output(Vec(Width, Bool())) 771a0301c0dSLemover })) 772a0301c0dSLemover 7738744445eSMaxpicca-Li def connect(normal: TlbPtwIOwithMemIdx): Unit = { 774f1fe8698SLemover req <> normal.req 775f1fe8698SLemover resp.ready := normal.resp.ready 776f1fe8698SLemover normal.resp.bits := resp.bits.data 777f1fe8698SLemover normal.resp.valid := resp.valid 778a0301c0dSLemover } 7796d5ddbceSLemover} 7806d5ddbceSLemover 78192e3bfefSLemover/**************************** L2TLB *************************************/ 7826d5ddbceSLemoverabstract class PtwBundle(implicit p: Parameters) extends XSBundle with HasPtwConst 78392e3bfefSLemoverabstract class PtwModule(outer: L2TLB) extends LazyModuleImp(outer) 7846d5ddbceSLemover with HasXSParameter with HasPtwConst 7856d5ddbceSLemover 7866d5ddbceSLemoverclass PteBundle(implicit p: Parameters) extends PtwBundle{ 7876d5ddbceSLemover val reserved = UInt(pteResLen.W) 7880d94d540SHaoyuan Feng val ppn_high = UInt(ppnHignLen.W) 7896d5ddbceSLemover val ppn = UInt(ppnLen.W) 7906d5ddbceSLemover val rsw = UInt(2.W) 7916d5ddbceSLemover val perm = new Bundle { 7926d5ddbceSLemover val d = Bool() 7936d5ddbceSLemover val a = Bool() 7946d5ddbceSLemover val g = Bool() 7956d5ddbceSLemover val u = Bool() 7966d5ddbceSLemover val x = Bool() 7976d5ddbceSLemover val w = Bool() 7986d5ddbceSLemover val r = Bool() 7996d5ddbceSLemover val v = Bool() 8006d5ddbceSLemover } 8016d5ddbceSLemover 8026d5ddbceSLemover def unaligned(level: UInt) = { 8036d5ddbceSLemover isLeaf() && !(level === 2.U || 8046d5ddbceSLemover level === 1.U && ppn(vpnnLen-1, 0) === 0.U || 8056d5ddbceSLemover level === 0.U && ppn(vpnnLen*2-1, 0) === 0.U) 8066d5ddbceSLemover } 8076d5ddbceSLemover 8086d5ddbceSLemover def isPf(level: UInt) = { 8096d5ddbceSLemover !perm.v || (!perm.r && perm.w) || unaligned(level) 8106d5ddbceSLemover } 8116d5ddbceSLemover 8120d94d540SHaoyuan Feng // paddr of Xiangshan is 36 bits but ppn of sv39 is 44 bits 8130d94d540SHaoyuan Feng // access fault will be raised when ppn >> ppnLen is not zero 8140d94d540SHaoyuan Feng def isAf() = { 8150d94d540SHaoyuan Feng !(ppn_high === 0.U) 8160d94d540SHaoyuan Feng } 8170d94d540SHaoyuan Feng 8186d5ddbceSLemover def isLeaf() = { 8196d5ddbceSLemover perm.r || perm.x || perm.w 8206d5ddbceSLemover } 8216d5ddbceSLemover 8226d5ddbceSLemover def getPerm() = { 8236d5ddbceSLemover val pm = Wire(new PtePermBundle) 8246d5ddbceSLemover pm.d := perm.d 8256d5ddbceSLemover pm.a := perm.a 8266d5ddbceSLemover pm.g := perm.g 8276d5ddbceSLemover pm.u := perm.u 8286d5ddbceSLemover pm.x := perm.x 8296d5ddbceSLemover pm.w := perm.w 8306d5ddbceSLemover pm.r := perm.r 8316d5ddbceSLemover pm 8326d5ddbceSLemover } 8336d5ddbceSLemover 8346d5ddbceSLemover override def toPrintable: Printable = { 8356d5ddbceSLemover p"ppn:0x${Hexadecimal(ppn)} perm:b${Binary(perm.asUInt)}" 8366d5ddbceSLemover } 8376d5ddbceSLemover} 8386d5ddbceSLemover 8396d5ddbceSLemoverclass PtwEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwBundle { 8406d5ddbceSLemover val tag = UInt(tagLen.W) 84145f497a4Shappy-lx val asid = UInt(asidLen.W) 842d0de7e4aSpeixiaokun val vmid = if (HasHExtension) Some(UInt(vmidLen.W)) else None 8436d5ddbceSLemover val ppn = UInt(ppnLen.W) 8446d5ddbceSLemover val perm = if (hasPerm) Some(new PtePermBundle) else None 8456d5ddbceSLemover val level = if (hasLevel) Some(UInt(log2Up(Level).W)) else None 846bc063562SLemover val prefetch = Bool() 8478d8ac704SLemover val v = Bool() 8486d5ddbceSLemover 84956728e73SLemover def is_normalentry(): Bool = { 85056728e73SLemover if (!hasLevel) true.B 85156728e73SLemover else level.get === 2.U 85256728e73SLemover } 85356728e73SLemover 854f1fe8698SLemover def genPPN(vpn: UInt): UInt = { 855f1fe8698SLemover if (!hasLevel) ppn 85645f43e6eSTang Haojin else MuxLookup(level.get, 0.U)(Seq( 857f1fe8698SLemover 0.U -> Cat(ppn(ppn.getWidth-1, vpnnLen*2), vpn(vpnnLen*2-1, 0)), 858f1fe8698SLemover 1.U -> Cat(ppn(ppn.getWidth-1, vpnnLen), vpn(vpnnLen-1, 0)), 859f1fe8698SLemover 2.U -> ppn) 860f1fe8698SLemover ) 861f1fe8698SLemover } 862f1fe8698SLemover 863d0de7e4aSpeixiaokun //s2xlate control whether compare vmid or not 864b188e334Speixiaokun def hit(vpn: UInt, asid: UInt, vasid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false, s2xlate: Bool) = { 86582978df9Speixiaokun require(vpn.getWidth == vpnLen) 866cccfc98dSLemover// require(this.asid.getWidth <= asid.getWidth) 867b188e334Speixiaokun val asid_value = Mux(s2xlate, vasid, asid) 868b188e334Speixiaokun val asid_hit = if (ignoreAsid) true.B else (this.asid === asid_value) 869d61cd5eeSpeixiaokun val vmid_hit = Mux(s2xlate, (this.vmid.getOrElse(0.U) === vmid), true.B) 8706d5ddbceSLemover if (allType) { 8716d5ddbceSLemover require(hasLevel) 8726d5ddbceSLemover val hit0 = tag(tagLen - 1, vpnnLen*2) === vpn(tagLen - 1, vpnnLen*2) 8736d5ddbceSLemover val hit1 = tag(vpnnLen*2 - 1, vpnnLen) === vpn(vpnnLen*2 - 1, vpnnLen) 8746d5ddbceSLemover val hit2 = tag(vpnnLen - 1, 0) === vpn(vpnnLen - 1, 0) 87545f497a4Shappy-lx 876d0de7e4aSpeixiaokun asid_hit && vmid_hit && Mux(level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0)) 8776d5ddbceSLemover } else if (hasLevel) { 8782a4a3520Speixiaokun val hit0 = tag(tagLen - 1, tagLen - vpnnLen - extendVpnnBits) === vpn(vpnLen - 1, vpnLen - vpnnLen - extendVpnnBits) 87909280d15Speixiaokun val hit1 = tag(tagLen - vpnnLen - extendVpnnBits - 1, tagLen - vpnnLen * 2 - extendVpnnBits) === vpn(vpnLen - vpnnLen - extendVpnnBits - 1, vpnLen - vpnnLen * 2 - extendVpnnBits) 88045f497a4Shappy-lx 881d0de7e4aSpeixiaokun asid_hit && vmid_hit && Mux(level.getOrElse(0.U) === 0.U, hit0, hit0 && hit1) 8826d5ddbceSLemover } else { 88382978df9Speixiaokun asid_hit && vmid_hit && tag === vpn(vpnLen - 1, vpnLen - tagLen) 8846d5ddbceSLemover } 8856d5ddbceSLemover } 8866d5ddbceSLemover 887d0de7e4aSpeixiaokun def refill(vpn: UInt, asid: UInt, vmid: UInt, pte: UInt, level: UInt = 0.U, prefetch: Bool, valid: Bool = false.B) { 88845f497a4Shappy-lx require(this.asid.getWidth <= asid.getWidth) // maybe equal is better, but ugly outside 88945f497a4Shappy-lx 8906d5ddbceSLemover tag := vpn(vpnLen - 1, vpnLen - tagLen) 891a0301c0dSLemover ppn := pte.asTypeOf(new PteBundle().cloneType).ppn 892a0301c0dSLemover perm.map(_ := pte.asTypeOf(new PteBundle().cloneType).perm) 89345f497a4Shappy-lx this.asid := asid 894d61cd5eeSpeixiaokun this.vmid.map(_ := vmid) 895bc063562SLemover this.prefetch := prefetch 8968d8ac704SLemover this.v := valid 8976d5ddbceSLemover this.level.map(_ := level) 8986d5ddbceSLemover } 8996d5ddbceSLemover 9008d8ac704SLemover def genPtwEntry(vpn: UInt, asid: UInt, pte: UInt, level: UInt = 0.U, prefetch: Bool, valid: Bool = false.B) = { 9016d5ddbceSLemover val e = Wire(new PtwEntry(tagLen, hasPerm, hasLevel)) 9028d8ac704SLemover e.refill(vpn, asid, pte, level, prefetch, valid) 9036d5ddbceSLemover e 9046d5ddbceSLemover } 9056d5ddbceSLemover 9066d5ddbceSLemover 907f1fe8698SLemover 9086d5ddbceSLemover override def toPrintable: Printable = { 9096d5ddbceSLemover // p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} perm:${perm}" 9106d5ddbceSLemover p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} " + 9116d5ddbceSLemover (if (hasPerm) p"perm:${perm.getOrElse(0.U.asTypeOf(new PtePermBundle))} " else p"") + 912bc063562SLemover (if (hasLevel) p"level:${level.getOrElse(0.U)}" else p"") + 913bc063562SLemover p"prefetch:${prefetch}" 9146d5ddbceSLemover } 9156d5ddbceSLemover} 9166d5ddbceSLemover 91763632028SHaoyuan Fengclass PtwSectorEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwEntry(tagLen, hasPerm, hasLevel) { 91863632028SHaoyuan Feng override val ppn = UInt(sectorppnLen.W) 91963632028SHaoyuan Feng} 92063632028SHaoyuan Feng 92163632028SHaoyuan Fengclass PtwMergeEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwSectorEntry(tagLen, hasPerm, hasLevel) { 92263632028SHaoyuan Feng val ppn_low = UInt(sectortlbwidth.W) 92363632028SHaoyuan Feng val af = Bool() 92463632028SHaoyuan Feng val pf = Bool() 92563632028SHaoyuan Feng} 92663632028SHaoyuan Feng 927cca17e78Speixiaokunclass HptwMergeEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwMergeEntry(tagLen, hasPerm, hasLevel) 928d0de7e4aSpeixiaokun 9296d5ddbceSLemoverclass PtwEntries(num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle { 9306d5ddbceSLemover require(log2Up(num)==log2Down(num)) 9311f4a7c0cSLemover // NOTE: hasPerm means that is leaf or not. 9326d5ddbceSLemover 9336d5ddbceSLemover val tag = UInt(tagLen.W) 93445f497a4Shappy-lx val asid = UInt(asidLen.W) 935d0de7e4aSpeixiaokun val vmid = if (HasHExtension) Some(UInt(vmidLen.W)) else None 936d61cd5eeSpeixiaokun val ppns = if (HasHExtension) Vec(num, UInt((vpnLen.max(ppnLen)).W)) else Vec(num, UInt(ppnLen.W)) 9376d5ddbceSLemover val vs = Vec(num, Bool()) 9386d5ddbceSLemover val perms = if (hasPerm) Some(Vec(num, new PtePermBundle)) else None 939bc063562SLemover val prefetch = Bool() 9406d5ddbceSLemover // println(s"PtwEntries: tag:1*${tagLen} ppns:${num}*${ppnLen} vs:${num}*1") 9411f4a7c0cSLemover // NOTE: vs is used for different usage: 9421f4a7c0cSLemover // for l3, which store the leaf(leaves), vs is page fault or not. 9431f4a7c0cSLemover // for l2, which shoule not store leaf, vs is valid or not, that will anticipate in hit check 9441f4a7c0cSLemover // Because, l2 should not store leaf(no perm), it doesn't store perm. 9451f4a7c0cSLemover // If l2 hit a leaf, the perm is still unavailble. Should still page walk. Complex but nothing helpful. 9461f4a7c0cSLemover // TODO: divide vs into validVec and pfVec 9471f4a7c0cSLemover // for l2: may valid but pf, so no need for page walk, return random pte with pf. 9486d5ddbceSLemover 94982978df9Speixiaokun def tagClip(vpn: UInt) = { 95082978df9Speixiaokun require(vpn.getWidth == vpnLen) 9516d5ddbceSLemover vpn(vpnLen - 1, vpnLen - tagLen) 9526d5ddbceSLemover } 9536d5ddbceSLemover 9546d5ddbceSLemover def sectorIdxClip(vpn: UInt, level: Int) = { 9556d5ddbceSLemover getVpnClip(vpn, level)(log2Up(num) - 1, 0) 9566d5ddbceSLemover } 9576d5ddbceSLemover 958b188e334Speixiaokun def hit(vpn: UInt, asid: UInt, vasid: UInt, vmid:UInt, ignoreAsid: Boolean = false, s2xlate: Bool) = { 959b188e334Speixiaokun val asid_value = Mux(s2xlate, vasid, asid) 960b188e334Speixiaokun val asid_hit = if (ignoreAsid) true.B else (this.asid === asid_value) 961d61cd5eeSpeixiaokun val vmid_hit = Mux(s2xlate, this.vmid.getOrElse(0.U) === vmid, true.B) 96282978df9Speixiaokun asid_hit && vmid_hit && tag === tagClip(vpn) && (if (hasPerm) true.B else vs(sectorIdxClip(vpn, level))) 9636d5ddbceSLemover } 9646d5ddbceSLemover 965d0de7e4aSpeixiaokun def genEntries(vpn: UInt, asid: UInt, vmid: UInt, data: UInt, levelUInt: UInt, prefetch: Bool) = { 9666d5ddbceSLemover require((data.getWidth / XLEN) == num, 9675854c1edSLemover s"input data length must be multiple of pte length: data.length:${data.getWidth} num:${num}") 9686d5ddbceSLemover 9696d5ddbceSLemover val ps = Wire(new PtwEntries(num, tagLen, level, hasPerm)) 9706d5ddbceSLemover ps.tag := tagClip(vpn) 97145f497a4Shappy-lx ps.asid := asid 972d61cd5eeSpeixiaokun ps.vmid.map(_ := vmid) 973bc063562SLemover ps.prefetch := prefetch 9746d5ddbceSLemover for (i <- 0 until num) { 9756d5ddbceSLemover val pte = data((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle) 9766d5ddbceSLemover ps.ppns(i) := pte.ppn 9776d5ddbceSLemover ps.vs(i) := !pte.isPf(levelUInt) && (if (hasPerm) pte.isLeaf() else !pte.isLeaf()) 9786d5ddbceSLemover ps.perms.map(_(i) := pte.perm) 9796d5ddbceSLemover } 9806d5ddbceSLemover ps 9816d5ddbceSLemover } 9826d5ddbceSLemover 9836d5ddbceSLemover override def toPrintable: Printable = { 9846d5ddbceSLemover // require(num == 4, "if num is not 4, please comment this toPrintable") 9856d5ddbceSLemover // NOTE: if num is not 4, please comment this toPrintable 9866d5ddbceSLemover val permsInner = perms.getOrElse(0.U.asTypeOf(Vec(num, new PtePermBundle))) 98745f497a4Shappy-lx p"asid: ${Hexadecimal(asid)} tag:0x${Hexadecimal(tag)} ppns:${printVec(ppns)} vs:${Binary(vs.asUInt)} " + 9886d5ddbceSLemover (if (hasPerm) p"perms:${printVec(permsInner)}" else p"") 9896d5ddbceSLemover } 9906d5ddbceSLemover} 9916d5ddbceSLemover 9927196f5a2SLemoverclass PTWEntriesWithEcc(eccCode: Code, num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle { 9937196f5a2SLemover val entries = new PtwEntries(num, tagLen, level, hasPerm) 9947196f5a2SLemover 9953889e11eSLemover val ecc_block = XLEN 9963889e11eSLemover val ecc_info = get_ecc_info() 997eef81af7SHaoyuan Feng val ecc = if (l2tlbParams.enablePTWECC) Some(UInt(ecc_info._1.W)) else None 9983889e11eSLemover 9993889e11eSLemover def get_ecc_info(): (Int, Int, Int, Int) = { 10003889e11eSLemover val eccBits_per = eccCode.width(ecc_block) - ecc_block 10013889e11eSLemover 10023889e11eSLemover val data_length = entries.getWidth 10033889e11eSLemover val data_align_num = data_length / ecc_block 10043889e11eSLemover val data_not_align = (data_length % ecc_block) != 0 // ugly code 10053889e11eSLemover val data_unalign_length = data_length - data_align_num * ecc_block 10063889e11eSLemover val eccBits_unalign = eccCode.width(data_unalign_length) - data_unalign_length 10073889e11eSLemover 10083889e11eSLemover val eccBits = eccBits_per * data_align_num + eccBits_unalign 10093889e11eSLemover (eccBits, eccBits_per, data_align_num, data_unalign_length) 10103889e11eSLemover } 10113889e11eSLemover 10123889e11eSLemover def encode() = { 1013935edac4STang Haojin val data = entries.asUInt 10143889e11eSLemover val ecc_slices = Wire(Vec(ecc_info._3, UInt(ecc_info._2.W))) 10153889e11eSLemover for (i <- 0 until ecc_info._3) { 10163889e11eSLemover ecc_slices(i) := eccCode.encode(data((i+1)*ecc_block-1, i*ecc_block)) >> ecc_block 10173889e11eSLemover } 10183889e11eSLemover if (ecc_info._4 != 0) { 10193889e11eSLemover val ecc_unaligned = eccCode.encode(data(data.getWidth-1, ecc_info._3*ecc_block)) >> ecc_info._4 1020eef81af7SHaoyuan Feng ecc.map(_ := Cat(ecc_unaligned, ecc_slices.asUInt)) 1021eef81af7SHaoyuan Feng } else { ecc.map(_ := ecc_slices.asUInt)} 10223889e11eSLemover } 10233889e11eSLemover 10243889e11eSLemover def decode(): Bool = { 1025935edac4STang Haojin val data = entries.asUInt 10263889e11eSLemover val res = Wire(Vec(ecc_info._3 + 1, Bool())) 10273889e11eSLemover for (i <- 0 until ecc_info._3) { 1028eef81af7SHaoyuan Feng res(i) := {if (ecc_info._2 != 0) eccCode.decode(Cat(ecc.get((i+1)*ecc_info._2-1, i*ecc_info._2), data((i+1)*ecc_block-1, i*ecc_block))).error else false.B} 10293889e11eSLemover } 10305197bac8SZiyue-Zhang if (ecc_info._2 != 0 && ecc_info._4 != 0) { 10313889e11eSLemover res(ecc_info._3) := eccCode.decode( 1032eef81af7SHaoyuan Feng Cat(ecc.get(ecc_info._1-1, ecc_info._2*ecc_info._3), data(data.getWidth-1, ecc_info._3*ecc_block))).error 10333889e11eSLemover } else { res(ecc_info._3) := false.B } 10343889e11eSLemover 10353889e11eSLemover Cat(res).orR 10363889e11eSLemover } 10373889e11eSLemover 1038d0de7e4aSpeixiaokun def gen(vpn: UInt, asid: UInt, vmid: UInt, data: UInt, levelUInt: UInt, prefetch: Bool) = { 1039d0de7e4aSpeixiaokun this.entries := entries.genEntries(vpn, asid, vmid, data, levelUInt, prefetch) 10403889e11eSLemover this.encode() 10413889e11eSLemover } 10427196f5a2SLemover} 10437196f5a2SLemover 10446d5ddbceSLemoverclass PtwReq(implicit p: Parameters) extends PtwBundle { 104582978df9Speixiaokun val vpn = UInt(vpnLen.W) //vpn or gvpn 104686b5ba4aSpeixiaokun val s2xlate = UInt(2.W) 1047d61cd5eeSpeixiaokun def hasS2xlate(): Bool = { 1048d61cd5eeSpeixiaokun this.s2xlate =/= noS2xlate 1049d61cd5eeSpeixiaokun } 105086b5ba4aSpeixiaokun def isOnlyStage2(): Bool = { 105186b5ba4aSpeixiaokun this.s2xlate === onlyStage2 105286b5ba4aSpeixiaokun } 10536d5ddbceSLemover override def toPrintable: Printable = { 10546d5ddbceSLemover p"vpn:0x${Hexadecimal(vpn)}" 10556d5ddbceSLemover } 10566d5ddbceSLemover} 10576d5ddbceSLemover 10588744445eSMaxpicca-Liclass PtwReqwithMemIdx(implicit p: Parameters) extends PtwReq { 10598744445eSMaxpicca-Li val memidx = new MemBlockidxBundle 1060a4f9c77fSpeixiaokun val getGpa = Bool() // this req is to get gpa when having guest page fault 10618744445eSMaxpicca-Li} 10628744445eSMaxpicca-Li 10636d5ddbceSLemoverclass PtwResp(implicit p: Parameters) extends PtwBundle { 10646d5ddbceSLemover val entry = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true) 10656d5ddbceSLemover val pf = Bool() 1066b6982e83SLemover val af = Bool() 10676d5ddbceSLemover 106845f497a4Shappy-lx def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, asid: UInt) = { 10695854c1edSLemover this.entry.level.map(_ := level) 10705854c1edSLemover this.entry.tag := vpn 10715854c1edSLemover this.entry.perm.map(_ := pte.getPerm()) 10725854c1edSLemover this.entry.ppn := pte.ppn 1073bc063562SLemover this.entry.prefetch := DontCare 107445f497a4Shappy-lx this.entry.asid := asid 10758d8ac704SLemover this.entry.v := !pf 10765854c1edSLemover this.pf := pf 1077b6982e83SLemover this.af := af 10785854c1edSLemover } 10795854c1edSLemover 10806d5ddbceSLemover override def toPrintable: Printable = { 1081b6982e83SLemover p"entry:${entry} pf:${pf} af:${af}" 10826d5ddbceSLemover } 10836d5ddbceSLemover} 10846d5ddbceSLemover 1085d0de7e4aSpeixiaokunclass HptwResp(implicit p: Parameters) extends PtwBundle { 1086d61cd5eeSpeixiaokun val entry = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true) 1087d0de7e4aSpeixiaokun val gpf = Bool() 1088d0de7e4aSpeixiaokun val gaf = Bool() 1089d0de7e4aSpeixiaokun 1090d0de7e4aSpeixiaokun def apply(gpf: Bool, gaf: Bool, level: UInt, pte: PteBundle, vpn: UInt, vmid: UInt) = { 1091d0de7e4aSpeixiaokun this.entry.level.map(_ := level) 1092d0de7e4aSpeixiaokun this.entry.tag := vpn 1093d0de7e4aSpeixiaokun this.entry.perm.map(_ := pte.getPerm()) 1094d0de7e4aSpeixiaokun this.entry.ppn := pte.ppn 1095d0de7e4aSpeixiaokun this.entry.prefetch := DontCare 1096d0de7e4aSpeixiaokun this.entry.asid := DontCare 1097d61cd5eeSpeixiaokun this.entry.vmid.map(_ := vmid) 1098d0de7e4aSpeixiaokun this.entry.v := !gpf 1099d0de7e4aSpeixiaokun this.gpf := gpf 1100d0de7e4aSpeixiaokun this.gaf := gaf 1101d0de7e4aSpeixiaokun } 1102d0de7e4aSpeixiaokun 1103cda84113Speixiaokun def genPPNS2(vpn: UInt): UInt = { 11048c34f10bSpeixiaokun MuxLookup(entry.level.get, 0.U)(Seq( 1105cda84113Speixiaokun 0.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, vpnnLen * 2), vpn(vpnnLen * 2 - 1, 0)), 1106cda84113Speixiaokun 1.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, vpnnLen), vpn(vpnnLen - 1, 0)), 1107d0de7e4aSpeixiaokun 2.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, 0)) 1108d0de7e4aSpeixiaokun )) 1109d0de7e4aSpeixiaokun } 1110d0de7e4aSpeixiaokun 1111d0de7e4aSpeixiaokun def hit(gvpn: UInt, vmid: UInt): Bool = { 1112d61cd5eeSpeixiaokun val vmid_hit = this.entry.vmid.getOrElse(0.U) === vmid 1113d61cd5eeSpeixiaokun val hit0 = entry.tag(vpnLen - 1, vpnnLen * 2) === gvpn(vpnLen - 1, vpnnLen * 2) 1114d0de7e4aSpeixiaokun val hit1 = entry.tag(vpnnLen * 2 - 1, vpnnLen) === gvpn(vpnnLen * 2 - 1, vpnnLen) 1115d0de7e4aSpeixiaokun val hit2 = entry.tag(vpnnLen - 1, 0) === gvpn(vpnnLen - 1, 0) 1116d0de7e4aSpeixiaokun vmid_hit && Mux(entry.level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(entry.level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0)) 1117d0de7e4aSpeixiaokun } 1118d0de7e4aSpeixiaokun} 1119d0de7e4aSpeixiaokun 112063632028SHaoyuan Fengclass PtwResptomerge (implicit p: Parameters) extends PtwBundle { 112163632028SHaoyuan Feng val entry = UInt(blockBits.W) 112263632028SHaoyuan Feng val vpn = UInt(vpnLen.W) 112363632028SHaoyuan Feng val level = UInt(log2Up(Level).W) 112463632028SHaoyuan Feng val pf = Bool() 112563632028SHaoyuan Feng val af = Bool() 112663632028SHaoyuan Feng val asid = UInt(asidLen.W) 112763632028SHaoyuan Feng 112863632028SHaoyuan Feng def apply(pf: Bool, af: Bool, level: UInt, pte: UInt, vpn: UInt, asid: UInt) = { 112963632028SHaoyuan Feng this.entry := pte 113063632028SHaoyuan Feng this.pf := pf 113163632028SHaoyuan Feng this.af := af 113263632028SHaoyuan Feng this.level := level 113363632028SHaoyuan Feng this.vpn := vpn 113463632028SHaoyuan Feng this.asid := asid 113563632028SHaoyuan Feng } 113663632028SHaoyuan Feng 113763632028SHaoyuan Feng override def toPrintable: Printable = { 113863632028SHaoyuan Feng p"entry:${entry} pf:${pf} af:${af}" 113963632028SHaoyuan Feng } 114063632028SHaoyuan Feng} 114163632028SHaoyuan Feng 11428744445eSMaxpicca-Liclass PtwRespwithMemIdx(implicit p: Parameters) extends PtwResp { 11438744445eSMaxpicca-Li val memidx = new MemBlockidxBundle 11448744445eSMaxpicca-Li} 11458744445eSMaxpicca-Li 114663632028SHaoyuan Fengclass PtwSectorRespwithMemIdx(implicit p: Parameters) extends PtwSectorResp { 114763632028SHaoyuan Feng val memidx = new MemBlockidxBundle 114863632028SHaoyuan Feng} 114963632028SHaoyuan Feng 115063632028SHaoyuan Fengclass PtwSectorResp(implicit p: Parameters) extends PtwBundle { 115163632028SHaoyuan Feng val entry = new PtwSectorEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true) 115263632028SHaoyuan Feng val addr_low = UInt(sectortlbwidth.W) 115363632028SHaoyuan Feng val ppn_low = Vec(tlbcontiguous, UInt(sectortlbwidth.W)) 115463632028SHaoyuan Feng val valididx = Vec(tlbcontiguous, Bool()) 1155b0fa7106SHaoyuan Feng val pteidx = Vec(tlbcontiguous, Bool()) 115663632028SHaoyuan Feng val pf = Bool() 115763632028SHaoyuan Feng val af = Bool() 115863632028SHaoyuan Feng 1159d0de7e4aSpeixiaokun 116063632028SHaoyuan Feng def genPPN(vpn: UInt): UInt = { 116145f43e6eSTang Haojin MuxLookup(entry.level.get, 0.U)(Seq( 116263632028SHaoyuan Feng 0.U -> Cat(entry.ppn(entry.ppn.getWidth-1, vpnnLen * 2 - sectortlbwidth), vpn(vpnnLen*2-1, 0)), 116363632028SHaoyuan Feng 1.U -> Cat(entry.ppn(entry.ppn.getWidth-1, vpnnLen - sectortlbwidth), vpn(vpnnLen-1, 0)), 116463632028SHaoyuan Feng 2.U -> Cat(entry.ppn(entry.ppn.getWidth-1, 0), ppn_low(vpn(sectortlbwidth - 1, 0)))) 116563632028SHaoyuan Feng ) 116663632028SHaoyuan Feng } 116763632028SHaoyuan Feng 1168d0de7e4aSpeixiaokun def hit(vpn: UInt, asid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false, s2xlate: Bool): Bool = { 116963632028SHaoyuan Feng require(vpn.getWidth == vpnLen) 117063632028SHaoyuan Feng // require(this.asid.getWidth <= asid.getWidth) 117163632028SHaoyuan Feng val asid_hit = if (ignoreAsid) true.B else (this.entry.asid === asid) 1172d61cd5eeSpeixiaokun val vmid_hit = Mux(s2xlate, this.entry.vmid.getOrElse(0.U) === vmid, true.B) 117363632028SHaoyuan Feng if (allType) { 117463632028SHaoyuan Feng val hit0 = entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnLen - 1, vpnnLen * 2) 117563632028SHaoyuan Feng val hit1 = entry.tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen) 117663632028SHaoyuan Feng val hit2 = entry.tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) 117763632028SHaoyuan Feng val addr_low_hit = valididx(vpn(sectortlbwidth - 1, 0)) 117863632028SHaoyuan Feng 1179d0de7e4aSpeixiaokun asid_hit && vmid_hit && Mux(entry.level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(entry.level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0)) && addr_low_hit 118063632028SHaoyuan Feng } else { 118163632028SHaoyuan Feng val hit0 = entry.tag(sectorvpnLen - 1, sectorvpnLen - vpnnLen) === vpn(vpnLen - 1, vpnLen - vpnnLen) 118263632028SHaoyuan Feng val hit1 = entry.tag(sectorvpnLen - vpnnLen - 1, sectorvpnLen - vpnnLen * 2) === vpn(vpnLen - vpnnLen - 1, vpnLen - vpnnLen * 2) 118363632028SHaoyuan Feng val addr_low_hit = valididx(vpn(sectortlbwidth - 1, 0)) 118463632028SHaoyuan Feng 1185d0de7e4aSpeixiaokun asid_hit && vmid_hit && Mux(entry.level.getOrElse(0.U) === 0.U, hit0, hit0 && hit1) && addr_low_hit 118663632028SHaoyuan Feng } 118763632028SHaoyuan Feng } 118863632028SHaoyuan Feng} 118963632028SHaoyuan Feng 119063632028SHaoyuan Fengclass PtwMergeResp(implicit p: Parameters) extends PtwBundle { 119163632028SHaoyuan Feng val entry = Vec(tlbcontiguous, new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 119263632028SHaoyuan Feng val pteidx = Vec(tlbcontiguous, Bool()) 119363632028SHaoyuan Feng val not_super = Bool() 119463632028SHaoyuan Feng 1195d0de7e4aSpeixiaokun def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, asid: UInt, vmid:UInt, addr_low : UInt, not_super : Boolean = true) = { 119663632028SHaoyuan Feng assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 119763632028SHaoyuan Feng 119863632028SHaoyuan Feng val ptw_resp = Wire(new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 119963632028SHaoyuan Feng ptw_resp.ppn := pte.ppn(ppnLen - 1, sectortlbwidth) 120063632028SHaoyuan Feng ptw_resp.ppn_low := pte.ppn(sectortlbwidth - 1, 0) 120163632028SHaoyuan Feng ptw_resp.level.map(_ := level) 120263632028SHaoyuan Feng ptw_resp.perm.map(_ := pte.getPerm()) 120363632028SHaoyuan Feng ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth) 120463632028SHaoyuan Feng ptw_resp.pf := pf 120563632028SHaoyuan Feng ptw_resp.af := af 120663632028SHaoyuan Feng ptw_resp.v := !pf 120763632028SHaoyuan Feng ptw_resp.prefetch := DontCare 120863632028SHaoyuan Feng ptw_resp.asid := asid 1209eb4bf3f2Speixiaokun ptw_resp.vmid.map(_ := vmid) 121063632028SHaoyuan Feng this.pteidx := UIntToOH(addr_low).asBools 121163632028SHaoyuan Feng this.not_super := not_super.B 1212d0de7e4aSpeixiaokun 1213d0de7e4aSpeixiaokun 121463632028SHaoyuan Feng for (i <- 0 until tlbcontiguous) { 121563632028SHaoyuan Feng this.entry(i) := ptw_resp 121663632028SHaoyuan Feng } 121763632028SHaoyuan Feng } 121830104977Speixiaokun 121930104977Speixiaokun def genPPN(): UInt = { 122030104977Speixiaokun val idx = OHToUInt(pteidx) 122109280d15Speixiaokun val tag = Cat(entry(idx).tag, idx(sectortlbwidth - 1, 0)) 12226f508cb5Speixiaokun MuxLookup(entry(idx).level.get, 0.U)(Seq( 122309280d15Speixiaokun 0.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen * 2 - sectortlbwidth), tag(vpnnLen * 2 - 1, 0)), 122409280d15Speixiaokun 1.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen - sectortlbwidth), tag(vpnnLen - 1, 0)), 122530104977Speixiaokun 2.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, 0), entry(idx).ppn_low)) 122630104977Speixiaokun ) 122730104977Speixiaokun } 122863632028SHaoyuan Feng} 12298744445eSMaxpicca-Li 1230d0de7e4aSpeixiaokunclass HptwMergeResp(implicit p: Parameters) extends PtwBundle { 1231d0de7e4aSpeixiaokun val entry = Vec(tlbcontiguous, new HptwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 1232d0de7e4aSpeixiaokun val pteidx = Vec(tlbcontiguous, Bool()) 1233d0de7e4aSpeixiaokun val not_super = Bool() 1234d0de7e4aSpeixiaokun 1235d0de7e4aSpeixiaokun def genPPN(): UInt = { 1236d0de7e4aSpeixiaokun val idx = OHToUInt(pteidx) 12376f508cb5Speixiaokun MuxLookup(entry(idx).level.get, 0.U)(Seq( 1238d61cd5eeSpeixiaokun 0.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen * 2 - sectortlbwidth), entry(idx).tag(vpnnLen * 2 - 1, 0)), 1239d61cd5eeSpeixiaokun 1.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen - sectortlbwidth), entry(idx).tag(vpnnLen - 1, 0)), 1240d0de7e4aSpeixiaokun 2.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, 0), entry(idx).ppn_low)) 1241d0de7e4aSpeixiaokun ) 1242d0de7e4aSpeixiaokun } 1243d0de7e4aSpeixiaokun 1244d0de7e4aSpeixiaokun def isAf(): Bool = { 1245d0de7e4aSpeixiaokun val idx = OHToUInt(pteidx) 1246d0de7e4aSpeixiaokun entry(idx).af 1247d0de7e4aSpeixiaokun } 1248d0de7e4aSpeixiaokun 1249d0de7e4aSpeixiaokun def isPf(): Bool = { 1250d0de7e4aSpeixiaokun val idx = OHToUInt(pteidx) 1251d0de7e4aSpeixiaokun entry(idx).pf 1252d0de7e4aSpeixiaokun } 1253d0de7e4aSpeixiaokun 1254d0de7e4aSpeixiaokun def MergeRespToPte(): PteBundle = { 1255d0de7e4aSpeixiaokun val idx = OHToUInt(pteidx) 1256d0de7e4aSpeixiaokun val resp = Wire(new PteBundle()) 1257d0de7e4aSpeixiaokun resp.ppn := Cat(entry(idx).ppn, entry(idx).ppn_low) 1258d61cd5eeSpeixiaokun resp.perm := entry(idx).perm.getOrElse(0.U) 1259d0de7e4aSpeixiaokun resp 1260d0de7e4aSpeixiaokun } 1261d0de7e4aSpeixiaokun 1262d0de7e4aSpeixiaokun def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, vmid: UInt, addr_low: UInt, not_super: Boolean = true) = { 1263d0de7e4aSpeixiaokun assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 1264d0de7e4aSpeixiaokun 1265d0de7e4aSpeixiaokun val ptw_resp = Wire(new HptwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 1266d0de7e4aSpeixiaokun ptw_resp.ppn := pte.ppn(ppnLen - 1, sectortlbwidth) 1267d0de7e4aSpeixiaokun ptw_resp.ppn_low := pte.ppn(sectortlbwidth - 1, 0) 1268d0de7e4aSpeixiaokun ptw_resp.level.map(_ := level) 1269d0de7e4aSpeixiaokun ptw_resp.perm.map(_ := pte.getPerm()) 1270d0de7e4aSpeixiaokun ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth) 1271d0de7e4aSpeixiaokun ptw_resp.pf := pf 1272d0de7e4aSpeixiaokun ptw_resp.af := af 1273d0de7e4aSpeixiaokun ptw_resp.v := !pf 1274d0de7e4aSpeixiaokun ptw_resp.prefetch := DontCare 1275cca17e78Speixiaokun ptw_resp.vmid.map(_ := vmid) 1276d0de7e4aSpeixiaokun this.pteidx := UIntToOH(addr_low).asBools 1277d0de7e4aSpeixiaokun this.not_super := not_super.B 1278d0de7e4aSpeixiaokun 1279d0de7e4aSpeixiaokun 1280d0de7e4aSpeixiaokun for (i <- 0 until tlbcontiguous) { 1281d0de7e4aSpeixiaokun this.entry(i) := ptw_resp 1282d0de7e4aSpeixiaokun } 1283d0de7e4aSpeixiaokun } 1284d0de7e4aSpeixiaokun} 1285d0de7e4aSpeixiaokun 1286d0de7e4aSpeixiaokunclass PtwRespS2(implicit p: Parameters) extends PtwBundle { 1287d0de7e4aSpeixiaokun val s2xlate = UInt(2.W) 1288d0de7e4aSpeixiaokun val s1 = new PtwSectorResp() 1289d0de7e4aSpeixiaokun val s2 = new HptwResp() 129086b5ba4aSpeixiaokun 129186b5ba4aSpeixiaokun def hasS2xlate(): Bool = { 129286b5ba4aSpeixiaokun this.s2xlate =/= noS2xlate 129386b5ba4aSpeixiaokun } 129486b5ba4aSpeixiaokun 129586b5ba4aSpeixiaokun def isOnlyStage2(): Bool = { 129686b5ba4aSpeixiaokun this.s2xlate === onlyStage2 129786b5ba4aSpeixiaokun } 129886b5ba4aSpeixiaokun 1299*9cb05b4dSXiaokun-Pei def getVpn(vpn: UInt): UInt = { 1300*9cb05b4dSXiaokun-Pei val level = s1.entry.level.getOrElse(0.U) max s2.entry.level.getOrElse(0.U) 1301*9cb05b4dSXiaokun-Pei val s1tag = Cat(s1.entry.tag, OHToUInt(s1.pteidx)) 1302*9cb05b4dSXiaokun-Pei val s1tagFix = MuxCase(s1.entry.tag, Seq( 1303*9cb05b4dSXiaokun-Pei (s1.entry.level.getOrElse(0.U) === 0.U && s2.entry.level.getOrElse(0.U) === 1.U) -> Cat(s1.entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), s2.entry.tag(vpnnLen * 2 - 1, vpnnLen), 0.U((vpnnLen - sectortlbwidth).W)), 1304*9cb05b4dSXiaokun-Pei (s1.entry.level.getOrElse(0.U) === 0.U && s2.entry.level.getOrElse(0.U) === 2.U) -> Cat(s1.entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), s2.entry.tag(vpnnLen * 2 - 1, sectortlbwidth)), 1305*9cb05b4dSXiaokun-Pei (s1.entry.level.getOrElse(0.U) === 1.U && s2.entry.level.getOrElse(0.U) === 2.U) -> Cat(s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth), s2.entry.tag(vpnnLen - 1, sectortlbwidth)) 1306*9cb05b4dSXiaokun-Pei )) 1307*9cb05b4dSXiaokun-Pei val s1_vpn = MuxLookup(level, s1tag)(Seq( 1308*9cb05b4dSXiaokun-Pei 0.U -> Cat(s1tagFix(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth), vpn(vpnnLen * 2 - 1, 0)), 1309*9cb05b4dSXiaokun-Pei 1.U -> Cat(s1tagFix(sectorvpnLen - 1, vpnnLen - sectortlbwidth), vpn(vpnnLen - 1, 0))) 1310*9cb05b4dSXiaokun-Pei ) 1311*9cb05b4dSXiaokun-Pei val s2_vpn = s2.entry.tag 1312*9cb05b4dSXiaokun-Pei Mux(s2xlate === onlyStage2, s2_vpn, Mux(s2xlate === allStage, s1_vpn, s1tag)) 1313c3d5cfb3Speixiaokun } 13144c4af37cSpeixiaokun 13154c4af37cSpeixiaokun def hit(vpn: UInt, asid: UInt, vasid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false): Bool = { 131668750422Speixiaokun val noS2_hit = s1.hit(vpn, Mux(this.hasS2xlate(), vasid, asid), vmid, allType, ignoreAsid, this.hasS2xlate) 131768750422Speixiaokun val onlyS2_hit = s2.hit(vpn, vmid) 131868750422Speixiaokun // allstage and onlys1 hit 131968750422Speixiaokun val s1vpn = Cat(s1.entry.tag, s1.addr_low) 132068750422Speixiaokun val level = s1.entry.level.getOrElse(0.U) max s2.entry.level.getOrElse(0.U) 132168750422Speixiaokun val hit0 = vpn(vpnnLen * 3 - 1, vpnnLen * 2) === s1vpn(vpnnLen * 3 - 1, vpnnLen * 2) 132268750422Speixiaokun val hit1 = vpn(vpnnLen * 2 - 1, vpnnLen) === s1vpn(vpnnLen * 2 - 1, vpnnLen) 132368750422Speixiaokun val hit2 = vpn(vpnnLen - 1, 0) === s1vpn(vpnnLen - 1, 0) 132468750422Speixiaokun val vpn_hit = Mux(level === 2.U, hit2 && hit1 && hit0, Mux(level === 1.U, hit1 && hit0, hit0)) 132568750422Speixiaokun val vmid_hit = Mux(this.s2xlate === allStage, s2.entry.vmid.getOrElse(0.U) === vmid, true.B) 132668750422Speixiaokun val vasid_hit = if (ignoreAsid) true.B else (s1.entry.asid === vasid) 132768750422Speixiaokun val all_onlyS1_hit = vpn_hit && vmid_hit && vasid_hit 132868750422Speixiaokun Mux(this.s2xlate === noS2xlate, noS2_hit, 132968750422Speixiaokun Mux(this.s2xlate === onlyStage2, onlyS2_hit, all_onlyS1_hit)) 13304c4af37cSpeixiaokun } 1331d0de7e4aSpeixiaokun} 1332d0de7e4aSpeixiaokun 1333d0de7e4aSpeixiaokunclass PtwRespS2withMemIdx(implicit p: Parameters) extends PtwRespS2 { 1334d0de7e4aSpeixiaokun val memidx = new MemBlockidxBundle() 1335a4f9c77fSpeixiaokun val getGpa = Bool() // this req is to get gpa when having guest page fault 1336d0de7e4aSpeixiaokun} 1337d0de7e4aSpeixiaokun 133892e3bfefSLemoverclass L2TLBIO(implicit p: Parameters) extends PtwBundle { 1339f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 13406d5ddbceSLemover val tlb = Vec(PtwWidth, Flipped(new TlbPtwIO)) 13416d5ddbceSLemover val sfence = Input(new SfenceBundle) 1342b6982e83SLemover val csr = new Bundle { 1343b6982e83SLemover val tlb = Input(new TlbCsrBundle) 1344b6982e83SLemover val distribute_csr = Flipped(new DistributedCSRIO) 1345b6982e83SLemover } 13466d5ddbceSLemover} 13476d5ddbceSLemover 1348b848eea5SLemoverclass L2TlbMemReqBundle(implicit p: Parameters) extends PtwBundle { 1349b848eea5SLemover val addr = UInt(PAddrBits.W) 1350b848eea5SLemover val id = UInt(bMemID.W) 135183d93d53Speixiaokun val hptw_bypassed = Bool() 1352b848eea5SLemover} 135345f497a4Shappy-lx 135445f497a4Shappy-lxclass L2TlbInnerBundle(implicit p: Parameters) extends PtwReq { 135545f497a4Shappy-lx val source = UInt(bSourceWidth.W) 135645f497a4Shappy-lx} 1357f1fe8698SLemover 13586967f5d5Speixiaokunclass L2TlbWithHptwIdBundle(implicit p: Parameters) extends PtwBundle { 13596967f5d5Speixiaokun val req_info = new L2TlbInnerBundle 1360325f0a4eSpeixiaokun val isHptwReq = Bool() 13617f6221c5Speixiaokun val isLLptw = Bool() 13626967f5d5Speixiaokun val hptwId = UInt(log2Up(l2tlbParams.llptwsize).W) 13636967f5d5Speixiaokun} 1364f1fe8698SLemover 1365f1fe8698SLemoverobject ValidHoldBypass{ 1366f1fe8698SLemover def apply(infire: Bool, outfire: Bool, flush: Bool = false.B) = { 1367f1fe8698SLemover val valid = RegInit(false.B) 1368f1fe8698SLemover when (infire) { valid := true.B } 1369f1fe8698SLemover when (outfire) { valid := false.B } // ATTENTION: order different with ValidHold 1370f1fe8698SLemover when (flush) { valid := false.B } // NOTE: the flush will flush in & out, is that ok? 1371f1fe8698SLemover valid || infire 1372f1fe8698SLemover } 1373f1fe8698SLemover} 13745afdf73cSHaoyuan Feng 13755afdf73cSHaoyuan Fengclass L1TlbDB(implicit p: Parameters) extends TlbBundle { 13765afdf73cSHaoyuan Feng val vpn = UInt(vpnLen.W) 13775afdf73cSHaoyuan Feng} 13785afdf73cSHaoyuan Feng 13795afdf73cSHaoyuan Fengclass PageCacheDB(implicit p: Parameters) extends TlbBundle with HasPtwConst { 13805afdf73cSHaoyuan Feng val vpn = UInt(vpnLen.W) 13815afdf73cSHaoyuan Feng val source = UInt(bSourceWidth.W) 13825afdf73cSHaoyuan Feng val bypassed = Bool() 13835afdf73cSHaoyuan Feng val is_first = Bool() 13845afdf73cSHaoyuan Feng val prefetched = Bool() 13855afdf73cSHaoyuan Feng val prefetch = Bool() 13865afdf73cSHaoyuan Feng val l2Hit = Bool() 13875afdf73cSHaoyuan Feng val l1Hit = Bool() 13885afdf73cSHaoyuan Feng val hit = Bool() 13895afdf73cSHaoyuan Feng} 13905afdf73cSHaoyuan Feng 13915afdf73cSHaoyuan Fengclass PTWDB(implicit p: Parameters) extends TlbBundle with HasPtwConst { 13925afdf73cSHaoyuan Feng val vpn = UInt(vpnLen.W) 13935afdf73cSHaoyuan Feng val source = UInt(bSourceWidth.W) 13945afdf73cSHaoyuan Feng} 13955afdf73cSHaoyuan Feng 13965afdf73cSHaoyuan Fengclass L2TlbPrefetchDB(implicit p: Parameters) extends TlbBundle { 13975afdf73cSHaoyuan Feng val vpn = UInt(vpnLen.W) 13985afdf73cSHaoyuan Feng} 13995afdf73cSHaoyuan Feng 14005afdf73cSHaoyuan Fengclass L2TlbMissQueueDB(implicit p: Parameters) extends TlbBundle { 14015afdf73cSHaoyuan Feng val vpn = UInt(vpnLen.W) 14025afdf73cSHaoyuan Feng} 1403