xref: /XiangShan/src/main/scala/xiangshan/backend/rob/Rob.scala (revision b240e1c0b814b1d9044e4438496d374cddde66fa)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15*
16*
17* Acknowledgement
18*
19* This implementation is inspired by several key papers:
20* [1] James E. Smith, and Andrew R. Pleszkun. "[Implementation of precise interrupts in pipelined processors.]
21* (https://dl.acm.org/doi/10.5555/327010.327125)" 12th Annual International Symposium on Computer Architecture (ISCA).
22* 1985.
23***************************************************************************************/
24
25package xiangshan.backend.rob
26
27import org.chipsalliance.cde.config.Parameters
28import chisel3._
29import chisel3.util._
30import chisel3.experimental.BundleLiterals._
31import difftest._
32import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
33import utility._
34import utils._
35import xiangshan._
36import xiangshan.backend.GPAMemEntry
37import xiangshan.backend.{BackendParams, RatToVecExcpMod, RegWriteFromRab, VecExcpInfo}
38import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
39import xiangshan.backend.fu.{FuConfig, FuType}
40import xiangshan.frontend.FtqPtr
41import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr}
42import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
43import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo}
44import xiangshan.backend.fu.vector.Bundles.VType
45import xiangshan.backend.rename.SnapshotGenerator
46import yunsuan.VfaluType
47import xiangshan.backend.rob.RobBundles._
48import xiangshan.backend.trace._
49import chisel3.experimental.BundleLiterals._
50
51class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter {
52  override def shouldBeInlined: Boolean = false
53
54  lazy val module = new RobImp(this)(p, params)
55}
56
57class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper)
58  with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents with HasCriticalErrors {
59
60  private val LduCnt = params.LduCnt
61  private val StaCnt = params.StaCnt
62  private val HyuCnt = params.HyuCnt
63
64  val io = IO(new Bundle() {
65    val hartId = Input(UInt(hartIdLen.W))
66    val redirect = Input(Valid(new Redirect))
67    val enq = new RobEnqIO
68    val flushOut = ValidIO(new Redirect)
69    val exception = ValidIO(new ExceptionInfo)
70    // exu + brq
71    val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
72    val exuWriteback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
73    val writebackNums = Flipped(Vec(writeback.size - params.StdCnt, ValidIO(UInt(writeback.size.U.getWidth.W))))
74    val writebackNeedFlush = Input(Vec(params.allExuParams.filter(_.needExceptionGen).length, Bool()))
75    val commits = Output(new RobCommitIO)
76    val trace = new Bundle {
77      val blockCommit = Input(Bool())
78      val traceCommitInfo = new TraceBundle(hasIaddr = false, CommitWidth, IretireWidthInPipe)
79    }
80    val rabCommits = Output(new RabCommitIO)
81    val diffCommits = if (backendParams.basicDebugEn) Some(Output(new DiffCommitIO)) else None
82    val isVsetFlushPipe = Output(Bool())
83    val lsq = new RobLsqIO
84    val robDeqPtr = Output(new RobPtr)
85    val csr = new RobCSRIO
86    val snpt = Input(new SnapshotPort)
87    val robFull = Output(Bool())
88    val headNotReady = Output(Bool())
89    val cpu_halt = Output(Bool())
90    val wfi_enable = Input(Bool())
91    val toDecode = new Bundle {
92      val isResumeVType = Output(Bool())
93      val walkToArchVType = Output(Bool())
94      val walkVType = ValidIO(VType())
95      val commitVType = new Bundle {
96        val vtype = ValidIO(VType())
97        val hasVsetvl = Output(Bool())
98      }
99    }
100    val fromVecExcpMod = Input(new Bundle {
101      val busy = Bool()
102    })
103    val readGPAMemAddr = ValidIO(new Bundle {
104      val ftqPtr = new FtqPtr()
105      val ftqOffset = UInt(log2Up(PredictWidth).W)
106    })
107    val readGPAMemData = Input(new GPAMemEntry)
108    val vstartIsZero = Input(Bool())
109
110    val toVecExcpMod = Output(new Bundle {
111      val logicPhyRegMap = Vec(RabCommitWidth, ValidIO(new RegWriteFromRab))
112      val excpInfo = ValidIO(new VecExcpInfo)
113    })
114    val debug_ls = Flipped(new DebugLSIO)
115    val debugRobHead = Output(new DynInst)
116    val debugEnqLsq = Input(new LsqEnqIO)
117    val debugHeadLsIssue = Input(Bool())
118    val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo))
119    val debugTopDown = new Bundle {
120      val toCore = new RobCoreTopDownIO
121      val toDispatch = new RobDispatchTopDownIO
122      val robHeadLqIdx = Valid(new LqPtr)
123    }
124    val debugRolling = new RobDebugRollingIO
125
126    // store event difftest information
127    val storeDebugInfo = Vec(EnsbufferWidth, new Bundle {
128      val robidx = Input(new RobPtr)
129      val pc     = Output(UInt(VAddrBits.W))
130    })
131  })
132
133  val exuWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(!_.bits.params.hasStdFu).toSeq
134  val stdWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(_.bits.params.hasStdFu).toSeq
135  val fflagsWBs = io.exuWriteback.filter(x => x.bits.fflags.nonEmpty).toSeq
136  val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty).toSeq
137  val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty).toSeq
138  val vxsatWBs = io.exuWriteback.filter(x => x.bits.vxsat.nonEmpty).toSeq
139  val branchWBs = io.exuWriteback.filter(_.bits.params.hasBrhFu).toSeq
140  val csrWBs = io.exuWriteback.filter(x => x.bits.params.hasCSR).toSeq
141
142  val numExuWbPorts = exuWBs.length
143  val numStdWbPorts = stdWBs.length
144  val bankAddrWidth = log2Up(CommitWidth)
145
146  println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth")
147
148  val rab = Module(new RenameBuffer(RabSize))
149  val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize))
150  val bankNum = 8
151  assert(RobSize % bankNum == 0, "RobSize % bankNum must be 0")
152  val robEntries = RegInit(VecInit.fill(RobSize)((new RobEntryBundle).Lit(_.valid -> false.B)))
153  // pointers
154  // For enqueue ptr, we don't duplicate it since only enqueue needs it.
155  val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr))
156  val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr))
157  val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr)))
158  val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr))
159  val walkPtrTrue = Reg(new RobPtr)
160  val lastWalkPtr = Reg(new RobPtr)
161  val allowEnqueue = RegInit(true.B)
162  val vecExcpInfo = RegInit(ValidIO(new VecExcpInfo).Lit(
163    _.valid -> false.B,
164  ))
165
166  /**
167   * Enqueue (from dispatch)
168   */
169  // special cases
170  val hasBlockBackward = RegInit(false.B)
171  val hasWaitForward = RegInit(false.B)
172  val doingSvinval = RegInit(false.B)
173  val enqPtr = enqPtrVec(0)
174  val deqPtr = deqPtrVec(0)
175  val walkPtr = walkPtrVec(0)
176  val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop)))))
177  io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq && !io.fromVecExcpMod.busy
178  io.enq.resp := allocatePtrVec
179  val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept))
180  val timer = GTimer()
181  // robEntries enqueue
182  for (i <- 0 until RobSize) {
183    val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2))
184    assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot")
185    when(enqOH.asUInt.orR && !io.redirect.valid){
186      connectEnq(robEntries(i), Mux1H(enqOH, io.enq.req.map(_.bits)))
187    }
188  }
189  // robBanks0 include robidx : 0 8 16 24 32 ...
190  val robBanks = VecInit((0 until bankNum).map(i => VecInit(robEntries.zipWithIndex.filter(_._2 % bankNum == i).map(_._1))))
191  // each Bank has 20 Entries, read addr is one hot
192  // all banks use same raddr
193  val eachBankEntrieNum = robBanks(0).length
194  val robBanksRaddrThisLine = RegInit(1.U(eachBankEntrieNum.W))
195  val robBanksRaddrNextLine = Wire(UInt(eachBankEntrieNum.W))
196  robBanksRaddrThisLine := robBanksRaddrNextLine
197  val bankNumWidth = log2Up(bankNum)
198  val deqPtrWidth = deqPtr.value.getWidth
199  val robIdxThisLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth), i.U(bankNumWidth.W))))
200  val robIdxNextLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth) + 1.U, i.U(bankNumWidth.W))))
201  // robBanks read
202  val robBanksRdataThisLine = VecInit(robBanks.map{ case bank =>
203    Mux1H(robBanksRaddrThisLine, bank)
204  })
205  val robBanksRdataNextLine = VecInit(robBanks.map{ case bank =>
206    val shiftBank = bank.drop(1) :+ bank(0)
207    Mux1H(robBanksRaddrThisLine, shiftBank)
208  })
209  val robBanksRdataThisLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle))
210  val robBanksRdataNextLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle))
211  val commitValidThisLine = Wire(Vec(CommitWidth, Bool()))
212  val hasCommitted = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
213  val donotNeedWalk = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
214  val allCommitted = Wire(Bool())
215
216  when(allCommitted) {
217    hasCommitted := 0.U.asTypeOf(hasCommitted)
218  }.elsewhen(io.commits.isCommit){
219    for (i <- 0 until CommitWidth){
220      hasCommitted(i) := commitValidThisLine(i) || hasCommitted(i)
221    }
222  }
223  allCommitted := io.commits.isCommit && commitValidThisLine.last
224  val walkPtrHead = Wire(new RobPtr)
225  val changeBankAddrToDeqPtr = (walkPtrVec.head + CommitWidth.U) > lastWalkPtr
226  when(io.redirect.valid){
227    robBanksRaddrNextLine := UIntToOH(walkPtrHead.value(walkPtrHead.value.getWidth-1, bankAddrWidth))
228  }.elsewhen(allCommitted || io.commits.isWalk && !changeBankAddrToDeqPtr){
229    robBanksRaddrNextLine := Mux(robBanksRaddrThisLine.head(1) === 1.U, 1.U, robBanksRaddrThisLine << 1)
230  }.elsewhen(io.commits.isWalk && changeBankAddrToDeqPtr){
231    robBanksRaddrNextLine := UIntToOH(deqPtr.value(deqPtr.value.getWidth-1, bankAddrWidth))
232  }.otherwise(
233    robBanksRaddrNextLine := robBanksRaddrThisLine
234  )
235  val robDeqGroup = Reg(Vec(bankNum, new RobCommitEntryBundle))
236  val rawInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq
237  val commitInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1,0)))).toSeq
238  val walkInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(walkPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq
239  for (i <- 0 until CommitWidth) {
240    connectCommitEntry(robDeqGroup(i), robBanksRdataThisLineUpdate(i))
241    when(allCommitted){
242      connectCommitEntry(robDeqGroup(i), robBanksRdataNextLineUpdate(i))
243    }
244  }
245
246  // In each robentry, the ftqIdx and ftqOffset belong to the first instruction that was compressed,
247  // That is Necessary when exceptions happen.
248  // Update the ftqOffset to correctly notify the frontend which instructions have been committed.
249  // Instructions in multiple Ftq entries compressed to one RobEntry do not occur.
250  for (i <- 0 until CommitWidth) {
251    val lastOffset = (rawInfo(i).traceBlockInPipe.iretire - (1.U << rawInfo(i).traceBlockInPipe.ilastsize.asUInt).asUInt) + rawInfo(i).ftqOffset
252    commitInfo(i).ftqOffset := Mux(CommitType.isFused(rawInfo(i).commitType), rawInfo(i).ftqOffset, lastOffset)
253  }
254
255  // data for debug
256  // Warn: debug_* prefix should not exist in generated verilog.
257  val debug_microOp = DebugMem(RobSize, new DynInst)
258  val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W))) //for debug
259  val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle)) //for debug
260  val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init)))
261  val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init)))
262  val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B))
263  val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B))
264
265  val isEmpty = enqPtr === deqPtr
266  val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _)
267  val snapshotPtrVec = Wire(Vec(CommitWidth, new RobPtr))
268  snapshotPtrVec(0) := io.enq.req(0).bits.robIdx
269  for (i <- 1 until CommitWidth) {
270    snapshotPtrVec(i) := snapshotPtrVec(0) + i.U
271  }
272  val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec)
273  val debug_lsIssue = WireDefault(debug_lsIssued)
274  debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue
275
276  /**
277   * states of Rob
278   */
279  val s_idle :: s_walk :: Nil = Enum(2)
280  val state = RegInit(s_idle)
281  val state_next = Wire(chiselTypeOf(state))
282
283  val tip_computing :: tip_stalled :: tip_walk :: tip_drained :: Nil = Enum(4)
284  val tip_state = WireInit(0.U(4.W))
285  when(!isEmpty) {  // One or more inst in ROB
286    when(state === s_walk || io.redirect.valid) {
287      tip_state := tip_walk
288    }.elsewhen(io.commits.isCommit && PopCount(io.commits.commitValid) =/= 0.U) {
289      tip_state := tip_computing
290    }.otherwise {
291      tip_state := tip_stalled
292    }
293  }.otherwise {
294    tip_state := tip_drained
295  }
296  class TipEntry()(implicit p: Parameters) extends XSBundle {
297    val state = UInt(4.W)
298    val commits = new RobCommitIO()      // info of commit
299    val redirect = Valid(new Redirect)   // info of redirect
300    val redirect_pc = UInt(VAddrBits.W)  // PC of the redirect uop
301    val debugLsInfo = new DebugLsInfo()
302  }
303  val tip_table = ChiselDB.createTable("Tip_" + p(XSCoreParamsKey).HartId.toString, new TipEntry)
304  val tip_data = Wire(new TipEntry())
305  tip_data.state := tip_state
306  tip_data.commits := io.commits
307  tip_data.redirect := io.redirect
308  tip_data.redirect_pc := debug_microOp(io.redirect.bits.robIdx.value).pc
309  tip_data.debugLsInfo := debug_lsInfo(io.commits.robIdx(0).value)
310  tip_table.log(tip_data, true.B, "", clock, reset)
311
312  val exceptionGen = Module(new ExceptionGen(params))
313  val exceptionDataRead = exceptionGen.io.state
314  val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W)))
315  val vxsatDataRead = Wire(Vec(CommitWidth, Bool()))
316  io.robDeqPtr := deqPtr
317  io.debugRobHead := debug_microOp(deqPtr.value)
318
319  /**
320   * connection of [[rab]]
321   */
322  rab.io.redirect.valid := io.redirect.valid
323
324  rab.io.req.zip(io.enq.req).map { case (dest, src) =>
325    dest.bits := src.bits
326    dest.valid := src.valid && io.enq.canAccept
327  }
328
329  val walkDestSizeDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W))))
330  val realDestSizeSeq = VecInit(robDeqGroup.zip(hasCommitted).map{case (r, h) => Mux(h, 0.U, r.realDestSize)})
331  val walkDestSizeSeq = VecInit(robDeqGroup.zip(donotNeedWalk).map{case (r, d) => Mux(d, 0.U, r.realDestSize)})
332  val commitSizeSumSeq = VecInit((0 until CommitWidth).map(i => realDestSizeSeq.take(i + 1).reduce(_ +& _)))
333  val walkSizeSumSeq   = VecInit((0 until CommitWidth).map(i => walkDestSizeSeq.take(i + 1).reduce(_ +& _)))
334  val commitSizeSumCond = VecInit(commitValidThisLine.zip(hasCommitted).map{case (c,h) => (c || h) && io.commits.isCommit})
335  val walkSizeSumCond   = VecInit(io.commits.walkValid.zip(donotNeedWalk).map{case (w,d) => (w || d) && io.commits.isWalk})
336  val commitSizeSum = PriorityMuxDefault(commitSizeSumCond.reverse.zip(commitSizeSumSeq.reverse), 0.U)
337  val walkSizeSum   = PriorityMuxDefault(walkSizeSumCond.reverse.zip(walkSizeSumSeq.reverse), 0.U)
338
339  val deqVlsExceptionNeedCommit = RegInit(false.B)
340  val deqVlsExceptionCommitSize = RegInit(0.U(log2Up(MaxUopSize + 1).W))
341  val deqVlsCanCommit= RegInit(false.B)
342  rab.io.fromRob.commitSize := Mux(deqVlsExceptionNeedCommit, deqVlsExceptionCommitSize, commitSizeSum)
343  rab.io.fromRob.walkSize := walkSizeSum
344  rab.io.fromRob.vecLoadExcp.valid := RegNext(exceptionDataRead.valid && exceptionDataRead.bits.isVecLoad)
345  rab.io.fromRob.vecLoadExcp.bits.isStrided := RegEnable(exceptionDataRead.bits.isStrided, exceptionDataRead.valid)
346  rab.io.fromRob.vecLoadExcp.bits.isVlm := RegEnable(exceptionDataRead.bits.isVlm, exceptionDataRead.valid)
347  rab.io.snpt := io.snpt
348  rab.io.snpt.snptEnq := snptEnq
349
350  io.rabCommits := rab.io.commits
351  io.diffCommits.foreach(_ := rab.io.diffCommits.get)
352
353  /**
354   * connection of [[vtypeBuffer]]
355   */
356
357  vtypeBuffer.io.redirect.valid := io.redirect.valid
358
359  vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) =>
360    sink.valid := source.valid && io.enq.canAccept
361    sink.bits := source.bits
362  }
363
364  private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset })
365  private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(walkInfo).map { case (valid, info) => io.commits.isWalk && valid && info.isVset })
366  vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec)
367  vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec)
368  vtypeBuffer.io.snpt := io.snpt
369  vtypeBuffer.io.snpt.snptEnq := snptEnq
370  io.toDecode.isResumeVType := vtypeBuffer.io.toDecode.isResumeVType
371  io.toDecode.walkToArchVType := vtypeBuffer.io.toDecode.walkToArchVType
372  io.toDecode.commitVType := vtypeBuffer.io.toDecode.commitVType
373  io.toDecode.walkVType := vtypeBuffer.io.toDecode.walkVType
374
375  // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B
376  // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty.
377  when(isEmpty) {
378    hasBlockBackward := false.B
379  }
380  // When any instruction commits, hasNoSpecExec should be set to false.B
381  when(io.commits.hasWalkInstr || io.commits.hasCommitInstr) {
382    hasWaitForward := false.B
383  }
384
385  // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing.
386  // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle.
387  // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts.
388  val hasWFI = RegInit(false.B)
389  io.cpu_halt := hasWFI
390  // WFI Timeout: 2^20 = 1M cycles
391  val wfi_cycles = RegInit(0.U(20.W))
392  when(hasWFI) {
393    wfi_cycles := wfi_cycles + 1.U
394  }.elsewhen(!hasWFI && RegNext(hasWFI)) {
395    wfi_cycles := 0.U
396  }
397  val wfi_timeout = wfi_cycles.andR
398  when(RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) {
399    hasWFI := false.B
400  }
401
402  for (i <- 0 until RenameWidth) {
403    // we don't check whether io.redirect is valid here since redirect has higher priority
404    when(canEnqueue(i)) {
405      val enqUop = io.enq.req(i).bits
406      val enqIndex = allocatePtrVec(i).value
407      // store uop in data module and debug_microOp Vec
408      debug_microOp(enqIndex) := enqUop
409      debug_microOp(enqIndex).debugInfo.dispatchTime := timer
410      debug_microOp(enqIndex).debugInfo.enqRsTime := timer
411      debug_microOp(enqIndex).debugInfo.selectTime := timer
412      debug_microOp(enqIndex).debugInfo.issueTime := timer
413      debug_microOp(enqIndex).debugInfo.writebackTime := timer
414      debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer
415      debug_microOp(enqIndex).debugInfo.tlbRespTime := timer
416      debug_lsInfo(enqIndex) := DebugLsInfo.init
417      debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init
418      debug_lqIdxValid(enqIndex) := false.B
419      debug_lsIssued(enqIndex) := false.B
420      when (enqUop.waitForward) {
421        hasWaitForward := true.B
422      }
423      val enqTriggerActionIsDebugMode = TriggerAction.isDmode(io.enq.req(i).bits.trigger)
424      val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR
425      // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process
426      when(!enqTriggerActionIsDebugMode && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) {
427        doingSvinval := true.B
428      }
429      // the end instruction of Svinval enqs so clear doingSvinval
430      when(!enqTriggerActionIsDebugMode && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) {
431        doingSvinval := false.B
432      }
433      // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear
434      assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe) || enqUop.isNotSvinval))
435      when(enqUop.isWFI && !enqHasException && !enqTriggerActionIsDebugMode) {
436        hasWFI := true.B
437      }
438
439      robEntries(enqIndex).mmio := false.B
440      robEntries(enqIndex).vls := enqUop.vlsInstr
441    }
442  }
443
444  for (i <- 0 until RenameWidth) {
445    val enqUop = io.enq.req(i)
446    when(enqUop.valid && enqUop.bits.blockBackward && io.enq.canAccept) {
447      hasBlockBackward := true.B
448    }
449  }
450
451  val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U)
452  io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR)
453
454  when(!io.wfi_enable) {
455    hasWFI := false.B
456  }
457  // sel vsetvl's flush position
458  val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3)
459  val vsetvlState = RegInit(vs_idle)
460
461  val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr))
462  val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W)))
463  val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr))
464
465  val enq0 = io.enq.req(0)
466  val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0)
467  val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe
468  val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map { case (req, fire) => FuType.isVArith(req.bits.fuType) && fire }
469  // for vs_idle
470  val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType)))
471  // for vs_waitVinstr
472  val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1)
473  val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req)
474  when(vsetvlState === vs_idle) {
475    firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr
476    firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset
477    firstVInstrRobIdx := firstVInstrIdle.bits.robIdx
478  }.elsewhen(vsetvlState === vs_waitVinstr) {
479    when(Cat(enqIsVInstrOrVset).orR) {
480      firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr
481      firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset
482      firstVInstrRobIdx := firstVInstrWait.bits.robIdx
483    }
484  }
485
486  val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR
487  when(vsetvlState === vs_idle && !io.redirect.valid) {
488    when(enq0IsVsetFlush) {
489      vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr)
490    }
491  }.elsewhen(vsetvlState === vs_waitVinstr) {
492    when(io.redirect.valid) {
493      vsetvlState := vs_idle
494    }.elsewhen(Cat(enqIsVInstrOrVset).orR) {
495      vsetvlState := vs_waitFlush
496    }
497  }.elsewhen(vsetvlState === vs_waitFlush) {
498    when(io.redirect.valid) {
499      vsetvlState := vs_idle
500    }
501  }
502
503  // lqEnq
504  io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) =>
505    when(io.debugEnqLsq.canAccept && alloc && req.valid) {
506      debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx
507      debug_lqIdxValid(req.bits.robIdx.value) := true.B
508    }
509  }
510
511  // lsIssue
512  when(io.debugHeadLsIssue) {
513    debug_lsIssued(deqPtr.value) := true.B
514  }
515
516  /**
517   * Writeback (from execution units)
518   */
519  for (wb <- exuWBs) {
520    when(wb.valid) {
521      val wbIdx = wb.bits.robIdx.value
522      debug_exuData(wbIdx) := wb.bits.data(0)
523      debug_exuDebug(wbIdx) := wb.bits.debug
524      debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime
525      debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime
526      debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime
527      debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime
528
529      // debug for lqidx and sqidx
530      debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr))
531      debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr))
532
533      val debug_Uop = debug_microOp(wbIdx)
534      XSInfo(true.B,
535        p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " +
536          p"data 0x${Hexadecimal(wb.bits.data(0))} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " +
537          p"skip ${wb.bits.debug.isSkipDiff} robIdx: ${wb.bits.robIdx}\n"
538      )
539    }
540  }
541
542  val writebackNum = PopCount(exuWBs.map(_.valid))
543  XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum)
544
545  for (i <- 0 until LoadPipelineWidth) {
546    when(RegNext(io.lsq.mmio(i))) {
547      robEntries(RegEnable(io.lsq.uop(i).robIdx, io.lsq.mmio(i)).value).mmio := true.B
548    }
549  }
550
551
552  /**
553   * RedirectOut: Interrupt and Exceptions
554   */
555  val deqDispatchData = robEntries(deqPtr.value)
556  val debug_deqUop = debug_microOp(deqPtr.value)
557
558  val deqPtrEntry = robDeqGroup(deqPtr.value(bankAddrWidth-1,0))
559  val deqPtrEntryValid = deqPtrEntry.commit_v
560  val deqHasFlushed = RegInit(false.B)
561  val intrBitSetReg = RegNext(io.csr.intrBitSet)
562  val intrEnable = intrBitSetReg && !hasWaitForward && deqPtrEntry.interrupt_safe && !deqHasFlushed
563  val deqNeedFlush = deqPtrEntry.needFlush && deqPtrEntry.commit_v && deqPtrEntry.commit_w
564  val deqHitExceptionGenState = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr
565  val deqNeedFlushAndHitExceptionGenState = deqNeedFlush && deqHitExceptionGenState
566  val exceptionGenStateIsException = exceptionDataRead.bits.exceptionVec.asUInt.orR || exceptionDataRead.bits.singleStep || TriggerAction.isDmode(exceptionDataRead.bits.trigger)
567  val deqHasException = deqNeedFlushAndHitExceptionGenState && exceptionGenStateIsException
568  val deqHasFlushPipe = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.flushPipe && !deqHasException && (!deqPtrEntry.isVls || RegNext(RegNext(deqPtrEntry.commit_w)))
569  val deqHasReplayInst = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.replayInst
570  val deqIsVlsException = deqHasException && deqPtrEntry.isVls && !exceptionDataRead.bits.isEnqExcp
571  // delay 2 cycle wait exceptionGen out
572  // vls exception can be committed only when RAB commit all its reg pairs
573  deqVlsCanCommit := RegNext(RegNext(deqIsVlsException && deqPtrEntry.commit_w)) && rab.io.status.commitEnd
574
575  // lock at assertion of deqVlsExceptionNeedCommit until condition not assert
576  val deqVlsExcpLock = RegInit(false.B)
577  val handleVlsExcp = deqIsVlsException && deqVlsCanCommit && !deqVlsExcpLock && state === s_idle
578  when(handleVlsExcp) {
579    deqVlsExcpLock := true.B
580  }.elsewhen(deqPtrVec.head =/= deqPtrVec_next.head) {
581    deqVlsExcpLock := false.B
582  }
583
584  // Only assert once when deqVlsExcp occurs until condition not assert to avoid multi message passed to RAB
585  when (deqVlsExceptionNeedCommit) {
586    deqVlsExceptionNeedCommit := false.B
587  }.elsewhen(handleVlsExcp){
588    deqVlsExceptionCommitSize := deqPtrEntry.realDestSize
589    deqVlsExceptionNeedCommit := true.B
590  }
591
592  XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n")
593  XSDebug(deqHasException && TriggerAction.isDmode(exceptionDataRead.bits.trigger), "Debug Mode: Deq has trigger entry debug Mode\n")
594
595  val isFlushPipe = deqPtrEntry.commit_w && (deqHasFlushPipe || deqHasReplayInst)
596
597  val isVsetFlushPipe = deqPtrEntry.commit_w && deqHasFlushPipe && exceptionDataRead.bits.isVset
598  //  val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush)
599  val needModifyFtqIdxOffset = false.B
600  io.isVsetFlushPipe := isVsetFlushPipe
601  // io.flushOut will trigger redirect at the next cycle.
602  // Block any redirect or commit at the next cycle.
603  val lastCycleFlush = RegNext(io.flushOut.valid)
604
605  io.flushOut.valid := (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException && (!deqIsVlsException || deqVlsCanCommit) || isFlushPipe) && !lastCycleFlush
606  io.flushOut.bits := DontCare
607  io.flushOut.bits.isRVC := deqDispatchData.isRVC
608  io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr)
609  io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx)
610  io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset)
611  io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || deqHasException || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next"
612  io.flushOut.bits.interrupt := true.B
613  XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable)
614  XSPerfAccumulate("exception_num", io.flushOut.valid && deqHasException)
615  XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe)
616  XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst)
617
618  val exceptionHappen = (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException && (!deqIsVlsException || deqVlsCanCommit)) && !lastCycleFlush
619  io.exception.valid := RegNext(exceptionHappen)
620  io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen)
621  io.exception.bits.gpaddr := io.readGPAMemData.gpaddr
622  io.exception.bits.isForVSnonLeafPTE := io.readGPAMemData.isForVSnonLeafPTE
623  io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen)
624  io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen)
625  io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen)
626  // fetch trigger fire or execute ebreak
627  io.exception.bits.isPcBkpt := RegEnable(
628    exceptionDataRead.bits.exceptionVec(ExceptionNO.EX_BP) && (
629      exceptionDataRead.bits.isEnqExcp ||
630      exceptionDataRead.bits.trigger === TriggerAction.None
631    ),
632    exceptionHappen,
633  )
634  io.exception.bits.isFetchMalAddr := RegEnable(exceptionDataRead.bits.isFetchMalAddr && deqHasException, exceptionHappen)
635  io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen)
636  io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen)
637  io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen)
638  io.exception.bits.isHls := RegEnable(deqDispatchData.isHls, exceptionHappen)
639  io.exception.bits.vls := RegEnable(robEntries(deqPtr.value).vls, exceptionHappen)
640  io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen)
641
642  // data will be one cycle after valid
643  io.readGPAMemAddr.valid := exceptionHappen
644  io.readGPAMemAddr.bits.ftqPtr := exceptionDataRead.bits.ftqPtr
645  io.readGPAMemAddr.bits.ftqOffset := exceptionDataRead.bits.ftqOffset
646
647  XSDebug(io.flushOut.valid,
648    p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " +
649      p"excp $deqHasException flushPipe $isFlushPipe " +
650      p"Trap_target 0x${Hexadecimal(io.csr.trapTarget.pc)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n")
651
652
653  /**
654   * Commits (and walk)
655   * They share the same width.
656   */
657  // T redirect.valid, T+1 use walkPtrVec read robEntries, T+2 start walk, shouldWalkVec used in T+2
658  val shouldWalkVec = Wire(Vec(CommitWidth,Bool()))
659  val walkingPtrVec = RegNext(walkPtrVec)
660  when(io.redirect.valid){
661    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
662  }.elsewhen(RegNext(io.redirect.valid)){
663    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
664  }.elsewhen(state === s_walk){
665    shouldWalkVec := VecInit(walkingPtrVec.map(_ <= lastWalkPtr).zip(donotNeedWalk).map(x => x._1 && !x._2))
666  }.otherwise(
667    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
668  )
669  val walkFinished = walkPtrTrue > lastWalkPtr
670  rab.io.fromRob.walkEnd := state === s_walk && walkFinished
671  vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished
672
673  require(RenameWidth <= CommitWidth)
674
675  // wiring to csr
676  val (wflags, dirtyFs) = (0 until CommitWidth).map(i => {
677    val v = io.commits.commitValid(i)
678    val info = io.commits.info(i)
679    (v & info.wflags, v & info.dirtyFs)
680  }).unzip
681  val fflags = Wire(Valid(UInt(5.W)))
682  fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR
683  fflags.bits := wflags.zip(fflagsDataRead).map({
684    case (w, f) => Mux(w, f, 0.U)
685  }).reduce(_ | _)
686  val dirtyVs = (0 until CommitWidth).map(i => {
687    val v = io.commits.commitValid(i)
688    val info = io.commits.info(i)
689    v & info.dirtyVs
690  })
691  val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR
692  val dirty_vs = io.commits.isCommit && VecInit(dirtyVs).asUInt.orR
693
694  val resetVstart = dirty_vs && !io.vstartIsZero
695
696  vecExcpInfo.valid := exceptionHappen && !intrEnable && exceptionDataRead.bits.vstartEn && exceptionDataRead.bits.isVecLoad && !exceptionDataRead.bits.isEnqExcp
697  when (exceptionHappen) {
698    vecExcpInfo.bits.nf := exceptionDataRead.bits.nf
699    vecExcpInfo.bits.vsew := exceptionDataRead.bits.vsew
700    vecExcpInfo.bits.veew := exceptionDataRead.bits.veew
701    vecExcpInfo.bits.vlmul := exceptionDataRead.bits.vlmul
702    vecExcpInfo.bits.isStride := exceptionDataRead.bits.isStrided
703    vecExcpInfo.bits.isIndexed := exceptionDataRead.bits.isIndexed
704    vecExcpInfo.bits.isWhole := exceptionDataRead.bits.isWhole
705    vecExcpInfo.bits.isVlm := exceptionDataRead.bits.isVlm
706    vecExcpInfo.bits.vstart := exceptionDataRead.bits.vstart
707  }
708
709  io.csr.vstart.valid := RegNext(Mux(exceptionHappen && deqHasException, exceptionDataRead.bits.vstartEn, resetVstart))
710  io.csr.vstart.bits := RegNext(Mux(exceptionHappen && deqHasException, exceptionDataRead.bits.vstart, 0.U))
711
712  val vxsat = Wire(Valid(Bool()))
713  vxsat.valid := io.commits.isCommit && vxsat.bits
714  vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map {
715    case (valid, vxsat) => valid & vxsat
716  }.reduce(_ | _)
717
718  // when mispredict branches writeback, stop commit in the next 2 cycles
719  // TODO: don't check all exu write back
720  val misPredWb = Cat(VecInit(redirectWBs.map(wb =>
721    wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid
722  ).toSeq)).orR
723  val misPredBlockCounter = Reg(UInt(3.W))
724  misPredBlockCounter := Mux(misPredWb,
725    "b111".U,
726    misPredBlockCounter >> 1.U
727  )
728  val misPredBlock = misPredBlockCounter(0)
729  val deqFlushBlockCounter = Reg(UInt(3.W))
730  val deqFlushBlock = deqFlushBlockCounter(0)
731  val deqHasCommitted = io.commits.isCommit && io.commits.commitValid(0)
732  // TODO *** WARNING ***
733  // Blocking commit. Don't change this before we fully understand the logic.
734  val deqHitRedirectReg = RegNext(io.redirect.valid && io.redirect.bits.robIdx === deqPtr) || RegNext(RegNext(io.redirect.valid && io.redirect.bits.robIdx === deqPtr))
735  val criticalErrorState = io.csr.criticalErrorState
736  when(deqNeedFlush && deqHitRedirectReg){
737    deqFlushBlockCounter := "b111".U
738  }.otherwise{
739    deqFlushBlockCounter := deqFlushBlockCounter >> 1.U
740  }
741  when(deqHasCommitted){
742    deqHasFlushed := false.B
743  }.elsewhen(deqNeedFlush && io.flushOut.valid && !io.flushOut.bits.flushItself()){
744    deqHasFlushed := true.B
745  }
746  val traceBlock = io.trace.blockCommit
747  val blockCommit = misPredBlock || lastCycleFlush || hasWFI || io.redirect.valid ||
748    (deqNeedFlush && !deqHasFlushed) || deqFlushBlock || criticalErrorState || traceBlock
749
750  io.commits.isWalk := state === s_walk
751  io.commits.isCommit := state === s_idle && !blockCommit
752
753  val walk_v = VecInit(walkingPtrVec.map(ptr => robEntries(ptr.value).valid))
754  val commit_vDeqGroup = VecInit(robDeqGroup.map(_.commit_v))
755  val commit_wDeqGroup = VecInit(robDeqGroup.map(_.commit_w))
756  val realCommitLast = deqPtrVec(0).lineHeadPtr + Fill(bankAddrWidth, 1.U)
757  val commit_block = VecInit((0 until CommitWidth).map(i => !commit_wDeqGroup(i) && !hasCommitted(i)))
758  val allowOnlyOneCommit = VecInit(robDeqGroup.map(x => x.commit_v && x.needFlush)).asUInt.orR || intrBitSetReg
759  // for instructions that may block others, we don't allow them to commit
760  io.commits.commitValid := PriorityMux(commitValidThisLine, (0 until CommitWidth).map(i => (commitValidThisLine.asUInt >> i).asUInt.asTypeOf(io.commits.commitValid)))
761
762  for (i <- 0 until CommitWidth) {
763    // defaults: state === s_idle and instructions commit
764    // when intrBitSetReg, allow only one instruction to commit at each clock cycle
765    val isBlocked = intrEnable || (deqNeedFlush && !deqHasFlushed && !deqHasFlushPipe)
766    val isBlockedByOlder = if (i != 0) commit_block.asUInt(i, 0).orR || allowOnlyOneCommit && !hasCommitted.asUInt(i - 1, 0).andR else false.B
767    commitValidThisLine(i) := commit_vDeqGroup(i) && commit_wDeqGroup(i) && !isBlocked && !isBlockedByOlder && !hasCommitted(i)
768    io.commits.info(i) := commitInfo(i)
769    io.commits.robIdx(i) := deqPtrVec(i)
770
771    io.commits.walkValid(i) := shouldWalkVec(i)
772    when(state === s_walk) {
773      when(io.commits.isWalk && state === s_walk && shouldWalkVec(i)) {
774        XSError(!walk_v(i), s"The walking entry($i) should be valid\n")
775      }
776    }
777
778    XSInfo(io.commits.isCommit && io.commits.commitValid(i),
779      "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n",
780      debug_microOp(deqPtrVec(i).value).pc,
781      io.commits.info(i).rfWen,
782      io.commits.info(i).debug_ldest.getOrElse(0.U),
783      io.commits.info(i).debug_pdest.getOrElse(0.U),
784      debug_exuData(deqPtrVec(i).value),
785      fflagsDataRead(i),
786      vxsatDataRead(i)
787    )
788    XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n",
789      debug_microOp(walkPtrVec(i).value).pc,
790      io.commits.info(i).rfWen,
791      io.commits.info(i).debug_ldest.getOrElse(0.U),
792      debug_exuData(walkPtrVec(i).value)
793    )
794  }
795
796  // sync fflags/dirty_fs/vxsat to csr
797  io.csr.fflags   := RegNextWithEnable(fflags)
798  io.csr.dirty_fs := GatedValidRegNext(dirty_fs)
799  io.csr.dirty_vs := GatedValidRegNext(dirty_vs)
800  io.csr.vxsat    := RegNextWithEnable(vxsat)
801
802  // commit load/store to lsq
803  val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD))
804  // TODO: Check if meet the require that only set scommit when commit scala store uop
805  val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE && !robEntries(deqPtrVec(i).value).vls ))
806  io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U))
807  io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U))
808  // indicate a pending load or store
809  io.lsq.pendingMMIOld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).mmio)
810  io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid)
811  // TODO: Check if need deassert pendingst when it is vst
812  io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid)
813  // TODO: Check if set correctly when vector store is at the head of ROB
814  io.lsq.pendingVst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).vls)
815  io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0))
816  io.lsq.pendingPtr := RegNext(deqPtr)
817  io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head)
818
819  /**
820   * state changes
821   * (1) redirect: switch to s_walk
822   * (2) walk: when walking comes to the end, switch to s_idle
823   */
824  state_next := Mux(
825    io.redirect.valid || RegNext(io.redirect.valid), s_walk,
826    Mux(
827      state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle,
828      state
829    )
830  )
831  XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle)
832  XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk)
833  XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle)
834  XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk)
835  state := state_next
836
837  /**
838   * pointers and counters
839   */
840  val deqPtrGenModule = Module(new NewRobDeqPtrWrapper)
841  deqPtrGenModule.io.state := state
842  deqPtrGenModule.io.deq_v := commit_vDeqGroup
843  deqPtrGenModule.io.deq_w := commit_wDeqGroup
844  deqPtrGenModule.io.exception_state := exceptionDataRead
845  deqPtrGenModule.io.intrBitSetReg := intrBitSetReg
846  deqPtrGenModule.io.hasNoSpecExec := hasWaitForward
847  deqPtrGenModule.io.allowOnlyOneCommit := allowOnlyOneCommit
848  deqPtrGenModule.io.interrupt_safe := robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe
849  deqPtrGenModule.io.blockCommit := blockCommit
850  deqPtrGenModule.io.hasCommitted := hasCommitted
851  deqPtrGenModule.io.allCommitted := allCommitted
852  deqPtrVec := deqPtrGenModule.io.out
853  deqPtrVec_next := deqPtrGenModule.io.next_out
854
855  val enqPtrGenModule = Module(new RobEnqPtrWrapper)
856  enqPtrGenModule.io.redirect := io.redirect
857  enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq && !io.fromVecExcpMod.busy
858  enqPtrGenModule.io.hasBlockBackward := hasBlockBackward
859  enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop))
860  enqPtrVec := enqPtrGenModule.io.out
861
862  // next walkPtrVec:
863  // (1) redirect occurs: update according to state
864  // (2) walk: move forwards
865  val deqPtrReadBank = deqPtrVec_next(0).lineHeadPtr
866  val deqPtrVecForWalk = VecInit((0 until CommitWidth).map(i => deqPtrReadBank + i.U))
867  val snapPtrReadBank = snapshots(io.snpt.snptSelect)(0).lineHeadPtr
868  val snapPtrVecForWalk = VecInit((0 until CommitWidth).map(i => snapPtrReadBank + i.U))
869  val walkPtrVec_next: Vec[RobPtr] = Mux(io.redirect.valid,
870    Mux(io.snpt.useSnpt, snapPtrVecForWalk, deqPtrVecForWalk),
871    Mux((state === s_walk) && !walkFinished, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec)
872  )
873  val walkPtrTrue_next: RobPtr = Mux(io.redirect.valid,
874    Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0), deqPtrVec_next(0)),
875    Mux((state === s_walk) && !walkFinished, walkPtrVec_next.head, walkPtrTrue)
876  )
877  walkPtrHead := walkPtrVec_next.head
878  walkPtrVec := walkPtrVec_next
879  walkPtrTrue := walkPtrTrue_next
880  // T io.redirect.valid, T+1 walkPtrLowBits update, T+2 donotNeedWalk update
881  val walkPtrLowBits = Reg(UInt(bankAddrWidth.W))
882  when(io.redirect.valid){
883    walkPtrLowBits := Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0).value(bankAddrWidth-1, 0), deqPtrVec_next(0).value(bankAddrWidth-1, 0))
884  }
885  when(io.redirect.valid) {
886    donotNeedWalk := Fill(donotNeedWalk.length, true.B).asTypeOf(donotNeedWalk)
887  }.elsewhen(RegNext(io.redirect.valid)){
888    donotNeedWalk := (0 until CommitWidth).map(i => (i.U < walkPtrLowBits))
889  }.otherwise{
890    donotNeedWalk := 0.U.asTypeOf(donotNeedWalk)
891  }
892  walkDestSizeDeqGroup.zip(walkPtrVec_next).map {
893    case (reg, ptrNext) => reg := robEntries(deqPtr.value).realDestSize
894  }
895  val numValidEntries = distanceBetween(enqPtr, deqPtr)
896  val commitCnt = PopCount(io.commits.commitValid)
897
898  allowEnqueue := numValidEntries + dispatchNum <= (RobSize - CommitWidth).U
899
900  val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0))
901  when(io.redirect.valid) {
902    lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx)
903  }
904
905
906  /**
907   * States
908   * We put all the stage bits changes here.
909   *
910   * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit);
911   * All states: (1) valid; (2) writebacked; (3) flagBkup
912   */
913
914  val deqPtrGroup = Wire(Vec(2 * CommitWidth, new RobPtr))
915  deqPtrGroup.zipWithIndex.map { case (deq, i) => deq := deqPtrVec(0) + i.U }
916  val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value)))
917
918  val redirectValidReg = RegNext(io.redirect.valid)
919  val redirectBegin = Reg(UInt(log2Up(RobSize).W))
920  val redirectEnd = Reg(UInt(log2Up(RobSize).W))
921  when(io.redirect.valid){
922    redirectBegin := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx.value - 1.U, io.redirect.bits.robIdx.value)
923    redirectEnd := enqPtr.value
924  }
925
926  // update robEntries valid
927  for (i <- 0 until RobSize) {
928    val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2))
929    val commitCond = io.commits.isCommit && io.commits.commitValid.zip(deqPtrVec.map(_.value === i.U)).map(x => x._1 && x._2).reduce(_ || _)
930    assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot")
931    val needFlush = redirectValidReg && Mux(
932      redirectEnd > redirectBegin,
933      (i.U > redirectBegin) && (i.U < redirectEnd),
934      (i.U > redirectBegin) || (i.U < redirectEnd)
935    )
936    when(commitCond) {
937      robEntries(i).valid := false.B
938    }.elsewhen(enqOH.asUInt.orR && !io.redirect.valid) {
939      robEntries(i).valid := true.B
940    }.elsewhen(needFlush){
941      robEntries(i).valid := false.B
942    }
943  }
944
945  // debug_inst update
946  for (i <- 0 until (LduCnt + StaCnt)) {
947    debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i))
948    debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i))
949    debug_lsInfo(io.debug_ls.debugLsInfo(i).s3_robIdx).s3SignalEnable(io.debug_ls.debugLsInfo(i))
950  }
951  for (i <- 0 until LduCnt) {
952    debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i))
953    debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i))
954  }
955
956  // status field: writebacked
957  // enqueue logic set 6 writebacked to false
958
959  // writeback logic set numWbPorts writebacked to true
960
961  // if the first uop of an instruction is valid , write writebackedCounter
962  val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid)
963  val instEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid && req.bits.firstUop)
964  val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf)
965  val enqHasExcpSeq = io.enq.req.map(_.bits.hasException)
966  val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value)
967  val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops))
968  val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB))
969
970  private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map {
971    req => FuType.isStore(req.bits.fuType)
972  })
973  val fflags_wb = fflagsWBs
974  val vxsat_wb = vxsatWBs
975  for (i <- 0 until RobSize) {
976
977    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U)
978    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
979    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
980    val instCanEnqFlag = Cat(instCanEnqSeq).orR
981    val hasExcpSeq = enqHasExcpSeq.lazyZip(robIdxMatchSeq).lazyZip(uopEnqValidSeq).map { case (excp, isMatch, valid) => excp && isMatch && valid }
982    val hasExcpFlag = Cat(hasExcpSeq).orR
983    val isFirstEnq = !robEntries(i).valid && instCanEnqFlag
984    val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid })
985    when(isFirstEnq){
986      robEntries(i).realDestSize := Mux(hasExcpFlag, 0.U, realDestEnqNum)
987    }.elsewhen(robEntries(i).valid && Cat(uopCanEnqSeq).orR){
988      robEntries(i).realDestSize := robEntries(i).realDestSize + realDestEnqNum
989    }
990    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
991    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
992    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
993
994    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
995    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U))
996    val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits))
997
998    val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
999    val needFlush = robEntries(i).needFlush
1000    val needFlushWriteBack = Wire(Bool())
1001    needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush)
1002    when(robEntries(i).valid){
1003      needFlush := needFlush || needFlushWriteBack
1004    }
1005
1006    when(robEntries(i).valid && (needFlush || needFlushWriteBack)) {
1007      // exception flush
1008      robEntries(i).uopNum := robEntries(i).uopNum - wbCnt
1009      robEntries(i).stdWritebacked := true.B
1010    }.elsewhen(!robEntries(i).valid && instCanEnqFlag) {
1011      // enq set num of uops
1012      robEntries(i).uopNum := enqWBNum
1013      robEntries(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B)
1014    }.elsewhen(robEntries(i).valid) {
1015      // update by writing back
1016      robEntries(i).uopNum := robEntries(i).uopNum - wbCnt
1017      assert(!(robEntries(i).uopNum - wbCnt > robEntries(i).uopNum), s"robEntries $i uopNum is overflow!")
1018      when(canStdWbSeq.asUInt.orR) {
1019        robEntries(i).stdWritebacked := true.B
1020      }
1021    }
1022
1023    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B))
1024    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
1025    when(isFirstEnq) {
1026      robEntries(i).fflags := 0.U
1027    }.elsewhen(fflagsRes.orR) {
1028      robEntries(i).fflags := robEntries(i).fflags | fflagsRes
1029    }
1030
1031    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
1032    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
1033    when(isFirstEnq) {
1034      robEntries(i).vxsat := 0.U
1035    }.elsewhen(vxsatRes.orR) {
1036      robEntries(i).vxsat := robEntries(i).vxsat | vxsatRes
1037    }
1038
1039    // trace
1040    val taken = branchWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.redirect.get.bits.cfiUpdate.taken).reduce(_ || _)
1041    when(robEntries(i).valid && Itype.isBranchType(robEntries(i).traceBlockInPipe.itype) && taken){
1042      // BranchType code(notaken itype = 4) must be correctly replaced!
1043      robEntries(i).traceBlockInPipe.itype := Itype.Taken
1044    }
1045  }
1046
1047  // begin update robBanksRdata
1048  val robBanksRdata = VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine)
1049  val needUpdate = Wire(Vec(2 * CommitWidth, new RobEntryBundle))
1050  needUpdate := VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine)
1051  val needUpdateRobIdx = robIdxThisLine ++ robIdxNextLine
1052  for (i <- 0 until 2 * CommitWidth) {
1053    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === needUpdateRobIdx(i))
1054    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
1055    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
1056    val instCanEnqFlag = Cat(instCanEnqSeq).orR
1057    val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid })
1058    when(!needUpdate(i).valid && instCanEnqFlag) {
1059      needUpdate(i).realDestSize := realDestEnqNum
1060    }.elsewhen(needUpdate(i).valid && instCanEnqFlag) {
1061      needUpdate(i).realDestSize := robBanksRdata(i).realDestSize + realDestEnqNum
1062    }
1063    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
1064    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
1065    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
1066
1067    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))
1068    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)))
1069    val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits))
1070
1071    val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && (writeback.bits.robIdx.value === needUpdateRobIdx(i)))
1072    val needFlush = robBanksRdata(i).needFlush
1073    val needFlushWriteBack = Wire(Bool())
1074    needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush)
1075    when(needUpdate(i).valid) {
1076      needUpdate(i).needFlush := needFlush || needFlushWriteBack
1077    }
1078
1079    when(needUpdate(i).valid && (needFlush || needFlushWriteBack)) {
1080      // exception flush
1081      needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt
1082      needUpdate(i).stdWritebacked := true.B
1083    }.elsewhen(!needUpdate(i).valid && instCanEnqFlag) {
1084      // enq set num of uops
1085      needUpdate(i).uopNum := enqWBNum
1086      needUpdate(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B)
1087    }.elsewhen(needUpdate(i).valid) {
1088      // update by writing back
1089      needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt
1090      when(canStdWbSeq.asUInt.orR) {
1091        needUpdate(i).stdWritebacked := true.B
1092      }
1093    }
1094
1095    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i) && writeback.bits.wflags.getOrElse(false.B))
1096    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
1097    needUpdate(i).fflags := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).fflags | fflagsRes)
1098
1099    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))
1100    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
1101    needUpdate(i).vxsat := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).vxsat | vxsatRes)
1102
1103    // trace
1104    val taken = branchWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i) && writeback.bits.redirect.get.bits.cfiUpdate.taken).reduce(_ || _)
1105    when(robBanksRdata(i).valid && Itype.isBranchType(robBanksRdata(i).traceBlockInPipe.itype) && taken){
1106      // BranchType code(notaken itype = 4) must be correctly replaced!
1107      needUpdate(i).traceBlockInPipe.itype := Itype.Taken
1108    }
1109  }
1110  robBanksRdataThisLineUpdate := VecInit(needUpdate.take(8))
1111  robBanksRdataNextLineUpdate := VecInit(needUpdate.drop(8))
1112  // end update robBanksRdata
1113
1114  // interrupt_safe
1115  for (i <- 0 until RenameWidth) {
1116    when(canEnqueue(i)) {
1117      // For now, we allow non-load-store instructions to trigger interrupts
1118      // For MMIO instructions, they should not trigger interrupts since they may
1119      // be sent to lower level before it writes back.
1120      // However, we cannot determine whether a load/store instruction is MMIO.
1121      // Thus, we don't allow load/store instructions to trigger an interrupt.
1122      // TODO: support non-MMIO load-store instructions to trigger interrupts
1123      val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType) && !FuType.isFence(io.enq.req(i).bits.fuType) && !FuType.isCsr(io.enq.req(i).bits.fuType) && !FuType.isVset(io.enq.req(i).bits.fuType)
1124      robEntries(allocatePtrVec(i).value).interrupt_safe := allow_interrupts
1125    }
1126  }
1127
1128  /**
1129   * read and write of data modules
1130   */
1131  val commitReadAddr_next = Mux(state_next === s_idle,
1132    VecInit(deqPtrVec_next.map(_.value)),
1133    VecInit(walkPtrVec_next.map(_.value))
1134  )
1135
1136  exceptionGen.io.redirect <> io.redirect
1137  exceptionGen.io.flush := io.flushOut.valid
1138
1139  val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept))
1140  for (i <- 0 until RenameWidth) {
1141    exceptionGen.io.enq(i).valid := canEnqueueEG(i)
1142    exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx
1143    exceptionGen.io.enq(i).bits.ftqPtr := io.enq.req(i).bits.ftqPtr
1144    exceptionGen.io.enq(i).bits.ftqOffset := io.enq.req(i).bits.ftqOffset
1145    exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec)
1146    exceptionGen.io.enq(i).bits.hasException := io.enq.req(i).bits.hasException
1147    exceptionGen.io.enq(i).bits.isEnqExcp := io.enq.req(i).bits.hasException
1148    exceptionGen.io.enq(i).bits.isFetchMalAddr := io.enq.req(i).bits.isFetchMalAddr
1149    exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe
1150    exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset
1151    exceptionGen.io.enq(i).bits.replayInst := false.B
1152    XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst")
1153    exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep
1154    exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix
1155    exceptionGen.io.enq(i).bits.trigger := io.enq.req(i).bits.trigger
1156    exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare
1157    exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare
1158    exceptionGen.io.enq(i).bits.vuopIdx := 0.U
1159    exceptionGen.io.enq(i).bits.isVecLoad := false.B
1160    exceptionGen.io.enq(i).bits.isVlm := false.B
1161    exceptionGen.io.enq(i).bits.isStrided := false.B
1162    exceptionGen.io.enq(i).bits.isIndexed := false.B
1163    exceptionGen.io.enq(i).bits.isWhole := false.B
1164    exceptionGen.io.enq(i).bits.nf := 0.U
1165    exceptionGen.io.enq(i).bits.vsew := 0.U
1166    exceptionGen.io.enq(i).bits.veew := 0.U
1167    exceptionGen.io.enq(i).bits.vlmul := 0.U
1168  }
1169
1170  println(s"ExceptionGen:")
1171  println(s"num of exceptions: ${params.numException}")
1172  require(exceptionWBs.length == exceptionGen.io.wb.length,
1173    f"exceptionWBs.length: ${exceptionWBs.length}, " +
1174      f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}")
1175  for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) {
1176    exc_wb.valid       := wb.valid
1177    exc_wb.bits.robIdx := wb.bits.robIdx
1178    // only enq inst use ftqPtr to read gpa
1179    exc_wb.bits.ftqPtr          := 0.U.asTypeOf(exc_wb.bits.ftqPtr)
1180    exc_wb.bits.ftqOffset       := 0.U.asTypeOf(exc_wb.bits.ftqOffset)
1181    exc_wb.bits.exceptionVec    := wb.bits.exceptionVec.get
1182    exc_wb.bits.hasException    := wb.bits.exceptionVec.get.asUInt.orR // Todo: use io.writebackNeedFlush(i) instead
1183    exc_wb.bits.isEnqExcp       := false.B
1184    exc_wb.bits.isFetchMalAddr  := false.B
1185    exc_wb.bits.flushPipe       := wb.bits.flushPipe.getOrElse(false.B)
1186    exc_wb.bits.isVset          := false.B
1187    exc_wb.bits.replayInst      := wb.bits.replay.getOrElse(false.B)
1188    exc_wb.bits.singleStep      := false.B
1189    exc_wb.bits.crossPageIPFFix := false.B
1190    val trigger = wb.bits.trigger.getOrElse(TriggerAction.None).asTypeOf(exc_wb.bits.trigger)
1191    exc_wb.bits.trigger := trigger
1192    exc_wb.bits.vstartEn := (if (wb.bits.vls.nonEmpty) wb.bits.exceptionVec.get.asUInt.orR || TriggerAction.isDmode(trigger) else 0.U)
1193    exc_wb.bits.vstart := (if (wb.bits.vls.nonEmpty) wb.bits.vls.get.vpu.vstart else 0.U)
1194    exc_wb.bits.vuopIdx := (if (wb.bits.vls.nonEmpty) wb.bits.vls.get.vpu.vuopIdx else 0.U)
1195    exc_wb.bits.isVecLoad := wb.bits.vls.map(_.isVecLoad).getOrElse(false.B)
1196    exc_wb.bits.isVlm := wb.bits.vls.map(_.isVlm).getOrElse(false.B)
1197    exc_wb.bits.isStrided := wb.bits.vls.map(_.isStrided).getOrElse(false.B) // strided need two mode tmp vreg
1198    exc_wb.bits.isIndexed := wb.bits.vls.map(_.isIndexed).getOrElse(false.B) // indexed and nf=0 need non-sequential uopidx -> vdidx
1199    exc_wb.bits.isWhole := wb.bits.vls.map(_.isWhole).getOrElse(false.B) // indexed and nf=0 need non-sequential uopidx -> vdidx
1200    exc_wb.bits.nf := wb.bits.vls.map(_.vpu.nf).getOrElse(0.U)
1201    exc_wb.bits.vsew := wb.bits.vls.map(_.vpu.vsew).getOrElse(0.U)
1202    exc_wb.bits.veew := wb.bits.vls.map(_.vpu.veew).getOrElse(0.U)
1203    exc_wb.bits.vlmul := wb.bits.vls.map(_.vpu.vlmul).getOrElse(0.U)
1204  }
1205
1206  fflagsDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).fflags)
1207  vxsatDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).vxsat)
1208
1209  val isCommit = io.commits.isCommit
1210  val isCommitReg = GatedValidRegNext(io.commits.isCommit)
1211  val instrCntReg = RegInit(0.U(64.W))
1212  val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => RegEnable(v && CommitType.isFused(i.commitType), isCommit) })
1213  val trueCommitCnt = RegEnable(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _), isCommit) +& fuseCommitCnt
1214  val retireCounter = Mux(isCommitReg, trueCommitCnt, 0.U)
1215  val instrCnt = instrCntReg + retireCounter
1216  when(isCommitReg){
1217    instrCntReg := instrCnt
1218  }
1219  io.csr.perfinfo.retiredInstr := retireCounter
1220  io.robFull := !allowEnqueue
1221  io.headNotReady := commit_vDeqGroup(deqPtr.value(bankNumWidth-1, 0)) && !commit_wDeqGroup(deqPtr.value(bankNumWidth-1, 0))
1222
1223  io.toVecExcpMod.logicPhyRegMap := rab.io.toVecExcpMod.logicPhyRegMap
1224  io.toVecExcpMod.excpInfo := vecExcpInfo
1225
1226  /**
1227   * trace
1228   */
1229
1230  // trace output
1231  val traceValids = io.trace.traceCommitInfo.blocks.map(_.valid)
1232  val traceBlocks = io.trace.traceCommitInfo.blocks
1233  val traceBlockInPipe = io.trace.traceCommitInfo.blocks.map(_.bits.tracePipe)
1234
1235  // The reg 'isTraceXret' only for trace xret instructions. xret only occur in block(0).
1236  val isTraceXret = RegInit(false.B)
1237  when(io.csr.isXRet){
1238    isTraceXret := true.B
1239  }.elsewhen(isTraceXret && io.commits.isCommit && io.commits.commitValid(0)){
1240    isTraceXret := false.B
1241  }
1242
1243  for (i <- 0 until CommitWidth) {
1244    traceBlocks(i).bits.ftqIdx.foreach(_ := rawInfo(i).ftqIdx)
1245    traceBlocks(i).bits.ftqOffset.foreach(_ := rawInfo(i).ftqOffset)
1246    traceBlockInPipe(i).itype := rawInfo(i).traceBlockInPipe.itype
1247    traceBlockInPipe(i).iretire := rawInfo(i).traceBlockInPipe.iretire
1248    traceBlockInPipe(i).ilastsize := rawInfo(i).traceBlockInPipe.ilastsize
1249    traceValids(i) := io.commits.isCommit && io.commits.commitValid(i)
1250    // exception/xret only occur in block(0).
1251    if(i == 0) {
1252      when(isTraceXret && io.commits.isCommit && io.commits.commitValid(0)){ // trace xret
1253        traceBlocks(i).bits.tracePipe.itype := Itype.ExpIntReturn
1254      }.elsewhen(io.exception.valid){ // trace exception
1255        traceBlocks(i).bits.tracePipe.itype := Mux(io.exception.bits.isInterrupt,
1256          Itype.Interrupt,
1257          Itype.Exception
1258        )
1259        traceValids(i) := true.B
1260        traceBlockInPipe(i).iretire := 0.U
1261      }
1262    }
1263  }
1264
1265  /**
1266   * debug info
1267   */
1268  XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n")
1269  XSDebug("")
1270  XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n")
1271  for (i <- 0 until RobSize) {
1272    XSDebug(false, !robEntries(i).valid, "-")
1273    XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w")
1274    XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v")
1275  }
1276  XSDebug(false, true.B, "\n")
1277
1278  for (i <- 0 until RobSize) {
1279    if (i % 4 == 0) XSDebug("")
1280    XSDebug(false, true.B, "%x ", debug_microOp(i).pc)
1281    XSDebug(false, !robEntries(i).valid, "- ")
1282    XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w ")
1283    XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v ")
1284    if (i % 4 == 3) XSDebug(false, true.B, "\n")
1285  }
1286
1287  def ifCommit(counter: UInt): UInt = Mux(isCommit, counter, 0.U)
1288
1289  def ifCommitReg(counter: UInt): UInt = Mux(isCommitReg, counter, 0.U)
1290
1291  val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_))
1292  XSPerfAccumulate("clock_cycle", 1.U)
1293  QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U)
1294  XSPerfAccumulate("commitUop", ifCommit(commitCnt))
1295  XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt))
1296  XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset)
1297  XSPerfRolling("cpi", perfCnt = 1.U /*Cycle*/ , eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset)
1298  XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt))
1299  val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD)
1300  val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map { case (v, t) => v && t }
1301  XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid)))
1302  val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH)
1303  val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map { case (v, t) => v && t }
1304  XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid)))
1305  val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE)
1306  XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t })))
1307  XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => robEntries(i).valid && robEntries(i).isWritebacked)))
1308  // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire)))
1309  // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready)))
1310  XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U))
1311  XSPerfAccumulate("walkCycleTotal", state === s_walk)
1312  XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd)
1313  private val walkCycle = RegInit(0.U(8.W))
1314  private val waitRabWalkCycle = RegInit(0.U(8.W))
1315  walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1316  waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1317
1318  XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32)
1319  XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32)
1320  XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32)
1321
1322  private val deqNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isWritebacked
1323  private val deqStdNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).stdWritebacked
1324  private val deqUopNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isUopWritebacked
1325  private val deqHeadInfo = debug_microOp(deqPtr.value)
1326  val deqUopCommitType = debug_microOp(deqPtr.value).commitType
1327
1328  XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U)
1329  XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U)
1330  XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U)
1331  XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U)
1332  XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U)
1333  XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U)
1334  XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U)
1335  XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U)
1336  XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U)
1337  XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1338  XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1339  XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1340  XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U)
1341
1342  XSPerfAccumulate("waitVfaluCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfalu.U)
1343  XSPerfAccumulate("waitVfmaCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfma.U)
1344  XSPerfAccumulate("waitVfdivCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfdiv.U)
1345
1346  val vfalufuop = Seq(VfaluType.vfadd, VfaluType.vfwadd, VfaluType.vfwadd_w, VfaluType.vfsub, VfaluType.vfwsub, VfaluType.vfwsub_w, VfaluType.vfmin, VfaluType.vfmax,
1347    VfaluType.vfmerge, VfaluType.vfmv, VfaluType.vfsgnj, VfaluType.vfsgnjn, VfaluType.vfsgnjx, VfaluType.vfeq, VfaluType.vfne, VfaluType.vflt, VfaluType.vfle, VfaluType.vfgt,
1348    VfaluType.vfge, VfaluType.vfclass, VfaluType.vfmv_f_s, VfaluType.vfmv_s_f, VfaluType.vfredusum, VfaluType.vfredmax, VfaluType.vfredmin, VfaluType.vfredosum, VfaluType.vfwredosum)
1349
1350  vfalufuop.zipWithIndex.map{
1351    case(fuoptype,i) =>  XSPerfAccumulate(s"waitVfalu_${i}Cycle", deqStdNotWritebacked && deqHeadInfo.fuOpType === fuoptype && deqHeadInfo.fuType === FuType.vfalu.U)
1352  }
1353
1354
1355
1356  XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL)
1357  XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH)
1358  XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD)
1359  XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE)
1360  XSPerfAccumulate("robHeadPC", io.commits.info(0).debug_pc.getOrElse(0.U))
1361  XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U }))
1362  (2 to RenameWidth).foreach(i =>
1363    XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize === i.U }))
1364  )
1365  XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _))
1366  val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime)
1367  val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime)
1368  val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime)
1369  val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime)
1370  val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime)
1371  val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime)
1372  val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime)
1373
1374  def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = {
1375    cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _)
1376  }
1377
1378  for (fuType <- FuType.functionNameMap.keys) {
1379    val fuName = FuType.functionNameMap(fuType)
1380    val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U)
1381    XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset)
1382    XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType)))
1383    XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency)))
1384    XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency)))
1385    XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency)))
1386    XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency)))
1387    XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency)))
1388    XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency)))
1389    XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency)))
1390  }
1391  XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt)
1392
1393  // top-down info
1394  io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid
1395  io.debugTopDown.toCore.robHeadVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits
1396  io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid
1397  io.debugTopDown.toCore.robHeadPaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits
1398  io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt)
1399  io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value)
1400  io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value)
1401  io.debugTopDown.robHeadLqIdx.bits := debug_microOp(deqPtr.value).lqIdx
1402
1403  // rolling
1404  io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt)
1405
1406  /**
1407   * DataBase info:
1408   * log trigger is at writeback valid
1409   * */
1410  if (!env.FPGAPlatform) {
1411    val instTableName = "InstTable" + p(XSCoreParamsKey).HartId.toString
1412    val instSiteName = "Rob" + p(XSCoreParamsKey).HartId.toString
1413    val debug_instTable = ChiselDB.createTable(instTableName, new InstInfoEntry)
1414    for (wb <- exuWBs) {
1415      when(wb.valid) {
1416        val debug_instData = Wire(new InstInfoEntry)
1417        val idx = wb.bits.robIdx.value
1418        debug_instData.robIdx := idx
1419        debug_instData.dvaddr := wb.bits.debug.vaddr
1420        debug_instData.dpaddr := wb.bits.debug.paddr
1421        debug_instData.issueTime := wb.bits.debugInfo.issueTime
1422        debug_instData.writebackTime := wb.bits.debugInfo.writebackTime
1423        debug_instData.dispatchLatency := wb.bits.debugInfo.dispatchTime - wb.bits.debugInfo.renameTime
1424        debug_instData.enqRsLatency := wb.bits.debugInfo.enqRsTime - wb.bits.debugInfo.dispatchTime
1425        debug_instData.selectLatency := wb.bits.debugInfo.selectTime - wb.bits.debugInfo.enqRsTime
1426        debug_instData.issueLatency := wb.bits.debugInfo.issueTime - wb.bits.debugInfo.selectTime
1427        debug_instData.executeLatency := wb.bits.debugInfo.writebackTime - wb.bits.debugInfo.issueTime
1428        debug_instData.rsFuLatency := wb.bits.debugInfo.writebackTime - wb.bits.debugInfo.enqRsTime
1429        debug_instData.tlbLatency := wb.bits.debugInfo.tlbRespTime - wb.bits.debugInfo.tlbFirstReqTime
1430        debug_instData.exceptType := Cat(wb.bits.exceptionVec.getOrElse(ExceptionVec(false.B)))
1431        debug_instData.lsInfo := debug_lsInfo(idx)
1432        // debug_instData.globalID := wb.bits.uop.ctrl.debug_globalID
1433        // debug_instData.instType := wb.bits.uop.ctrl.fuType
1434        // debug_instData.ivaddr := wb.bits.uop.cf.pc
1435        // debug_instData.mdpInfo.ssid := wb.bits.uop.cf.ssid
1436        // debug_instData.mdpInfo.waitAllStore := wb.bits.uop.cf.loadWaitStrict && wb.bits.uop.cf.loadWaitBit
1437        debug_instTable.log(
1438          data = debug_instData,
1439          en = wb.valid,
1440          site = instSiteName,
1441          clock = clock,
1442          reset = reset
1443        )
1444      }
1445    }
1446  }
1447
1448
1449  //difftest signals
1450  val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value
1451
1452  val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1453  val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1454
1455  for (i <- 0 until CommitWidth) {
1456    val idx = deqPtrVec(i).value
1457    wdata(i) := debug_exuData(idx)
1458    wpc(i) := SignExt(commitDebugUop(i).pc, XLEN)
1459  }
1460
1461  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1462    // These are the structures used by difftest only and should be optimized after synthesis.
1463    val dt_eliminatedMove = Mem(RobSize, Bool())
1464    val dt_isRVC = Mem(RobSize, Bool())
1465    val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle))
1466    for (i <- 0 until RenameWidth) {
1467      when(canEnqueue(i)) {
1468        dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove
1469        dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC
1470      }
1471    }
1472    for (wb <- exuWBs) {
1473      when(wb.valid) {
1474        val wbIdx = wb.bits.robIdx.value
1475        dt_exuDebug(wbIdx) := wb.bits.debug
1476      }
1477    }
1478    // Always instantiate basic difftest modules.
1479    for (i <- 0 until CommitWidth) {
1480      val uop = commitDebugUop(i)
1481      val commitInfo = io.commits.info(i)
1482      val ptr = deqPtrVec(i).value
1483      val exuOut = dt_exuDebug(ptr)
1484      val eliminatedMove = dt_eliminatedMove(ptr)
1485      val isRVC = dt_isRVC(ptr)
1486
1487      val difftest = DifftestModule(new DiffInstrCommit(MaxPhyRegs), delay = 3, dontCare = true)
1488      val dt_skip = Mux(eliminatedMove, false.B, exuOut.isSkipDiff)
1489      difftest.coreid := io.hartId
1490      difftest.index := i.U
1491      difftest.valid := io.commits.commitValid(i) && io.commits.isCommit
1492      difftest.skip := dt_skip
1493      difftest.isRVC := isRVC
1494      difftest.rfwen := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.debug_ldest.get =/= 0.U
1495      difftest.fpwen := io.commits.commitValid(i) && uop.fpWen
1496      difftest.wpdest := commitInfo.debug_pdest.get
1497      difftest.wdest := commitInfo.debug_ldest.get
1498      difftest.nFused := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U
1499      when(difftest.valid) {
1500        assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U)
1501      }
1502      if (env.EnableDifftest) {
1503        val uop = commitDebugUop(i)
1504        difftest.pc := SignExt(uop.pc, XLEN)
1505        difftest.instr := uop.instr
1506        difftest.robIdx := ZeroExt(ptr, 10)
1507        difftest.lqIdx := ZeroExt(uop.lqIdx.value, 7)
1508        difftest.sqIdx := ZeroExt(uop.sqIdx.value, 7)
1509        difftest.isLoad := io.commits.info(i).commitType === CommitType.LOAD
1510        difftest.isStore := io.commits.info(i).commitType === CommitType.STORE
1511        // Check LoadEvent only when isAmo or isLoad and skip MMIO
1512        val difftestLoadEvent = DifftestModule(new DiffLoadEvent, delay = 3)
1513        difftestLoadEvent.coreid := io.hartId
1514        difftestLoadEvent.index := i.U
1515        val loadCheck = (FuType.isAMO(uop.fuType) || FuType.isLoad(uop.fuType)) && !dt_skip
1516        difftestLoadEvent.valid    := io.commits.commitValid(i) && io.commits.isCommit && loadCheck
1517        difftestLoadEvent.paddr    := exuOut.paddr
1518        difftestLoadEvent.opType   := uop.fuOpType
1519        difftestLoadEvent.isAtomic := FuType.isAMO(uop.fuType)
1520        difftestLoadEvent.isLoad   := FuType.isLoad(uop.fuType)
1521      }
1522    }
1523  }
1524
1525  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1526    val dt_isXSTrap = Mem(RobSize, Bool())
1527    for (i <- 0 until RenameWidth) {
1528      when(canEnqueue(i)) {
1529        dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap
1530      }
1531    }
1532    val trapVec = io.commits.commitValid.zip(deqPtrVec).map { case (v, d) =>
1533      io.commits.isCommit && v && dt_isXSTrap(d.value)
1534    }
1535    val hitTrap = trapVec.reduce(_ || _)
1536    val difftest = DifftestModule(new DiffTrapEvent, dontCare = true)
1537    difftest.coreid := io.hartId
1538    difftest.hasTrap := hitTrap
1539    difftest.cycleCnt := timer
1540    difftest.instrCnt := instrCnt
1541    difftest.hasWFI := hasWFI
1542
1543    if (env.EnableDifftest) {
1544      val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1))
1545      val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 -> x._1)), XLEN)
1546      difftest.code := trapCode
1547      difftest.pc := trapPC
1548    }
1549  }
1550
1551  //store evetn difftest information
1552  io.storeDebugInfo := DontCare
1553  if (env.EnableDifftest) {
1554    io.storeDebugInfo.map{port =>
1555      port.pc := debug_microOp(port.robidx.value).pc
1556    }
1557  }
1558
1559  val commitLoadVec = VecInit(commitLoadValid)
1560  val commitBranchVec = VecInit(commitBranchValid)
1561  val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t })
1562  val perfEvents = Seq(
1563    ("rob_interrupt_num      ", io.flushOut.valid && intrEnable),
1564    ("rob_exception_num      ", io.flushOut.valid && deqHasException),
1565    ("rob_flush_pipe_num     ", io.flushOut.valid && isFlushPipe),
1566    ("rob_replay_inst_num    ", io.flushOut.valid && isFlushPipe && deqHasReplayInst),
1567    ("rob_commitUop          ", ifCommit(commitCnt)),
1568    ("rob_commitInstr        ", ifCommitReg(trueCommitCnt)),
1569    ("rob_commitInstrFused   ", ifCommitReg(fuseCommitCnt)),
1570    ("rob_commitInstrLoad    ", ifCommitReg(PopCount(RegEnable(commitLoadVec, isCommit)))),
1571    ("rob_commitInstrBranch  ", ifCommitReg(PopCount(RegEnable(commitBranchVec, isCommit)))),
1572    ("rob_commitInstrStore   ", ifCommitReg(PopCount(RegEnable(commitStoreVec, isCommit)))),
1573    ("rob_walkInstr          ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)),
1574    ("rob_walkCycle          ", (state === s_walk)),
1575    ("rob_1_4_valid          ", numValidEntries <= (RobSize / 4).U),
1576    ("rob_2_4_valid          ", numValidEntries > (RobSize / 4).U && numValidEntries <= (RobSize / 2).U),
1577    ("rob_3_4_valid          ", numValidEntries > (RobSize / 2).U && numValidEntries <= (RobSize * 3 / 4).U),
1578    ("rob_4_4_valid          ", numValidEntries > (RobSize * 3 / 4).U),
1579  )
1580  generatePerfEvent()
1581
1582  // max commit-stuck cycle
1583  val deqismmio = Mux(robEntries(deqPtr.value).valid, robEntries(deqPtr.value).mmio, false.B)
1584  val commitStuck = (!io.commits.commitValid.reduce(_ || _) || !io.commits.isCommit) && !deqismmio
1585  val commitStuckCycle = RegInit(0.U(log2Up(maxCommitStuck).W))
1586  when(commitStuck) {
1587    commitStuckCycle := commitStuckCycle + 1.U
1588  }.elsewhen(!commitStuck && RegNext(commitStuck)) {
1589    commitStuckCycle := 0.U
1590  }
1591  // check if stuck > 2^maxCommitStuckCycle
1592  val commitStuck_overflow = commitStuckCycle.andR
1593  val criticalErrors = Seq(
1594    ("rob_commit_stuck  ", commitStuck_overflow),
1595  )
1596  generateCriticalErrors()
1597
1598
1599  // dontTouch for debug
1600  if (backendParams.debugEn) {
1601    dontTouch(enqPtrVec)
1602    dontTouch(deqPtrVec)
1603    dontTouch(robEntries)
1604    dontTouch(robDeqGroup)
1605    dontTouch(robBanks)
1606    dontTouch(robBanksRaddrThisLine)
1607    dontTouch(robBanksRaddrNextLine)
1608    dontTouch(robBanksRdataThisLine)
1609    dontTouch(robBanksRdataNextLine)
1610    dontTouch(robBanksRdataThisLineUpdate)
1611    dontTouch(robBanksRdataNextLineUpdate)
1612    dontTouch(needUpdate)
1613    val exceptionWBsVec = MixedVecInit(exceptionWBs)
1614    dontTouch(exceptionWBsVec)
1615    dontTouch(commit_wDeqGroup)
1616    dontTouch(commit_vDeqGroup)
1617    dontTouch(commitSizeSumSeq)
1618    dontTouch(walkSizeSumSeq)
1619    dontTouch(commitSizeSumCond)
1620    dontTouch(walkSizeSumCond)
1621    dontTouch(commitSizeSum)
1622    dontTouch(walkSizeSum)
1623    dontTouch(realDestSizeSeq)
1624    dontTouch(walkDestSizeSeq)
1625    dontTouch(io.commits)
1626    dontTouch(commitIsVTypeVec)
1627    dontTouch(walkIsVTypeVec)
1628    dontTouch(commitValidThisLine)
1629    dontTouch(commitReadAddr_next)
1630    dontTouch(donotNeedWalk)
1631    dontTouch(walkPtrVec_next)
1632    dontTouch(walkPtrVec)
1633    dontTouch(deqPtrVec_next)
1634    dontTouch(deqPtrVecForWalk)
1635    dontTouch(snapPtrReadBank)
1636    dontTouch(snapPtrVecForWalk)
1637    dontTouch(shouldWalkVec)
1638    dontTouch(walkFinished)
1639    dontTouch(changeBankAddrToDeqPtr)
1640  }
1641  if (env.EnableDifftest) {
1642    io.commits.info.map(info => dontTouch(info.debug_pc.get))
1643  }
1644}
1645