xref: /XiangShan/src/main/scala/xiangshan/backend/rob/Rob.scala (revision aeadbae06049cd419f67aac119ffd3d9af058d6e)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.backend.rob
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import difftest._
23import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
24import utility._
25import utils._
26import xiangshan._
27import xiangshan.backend.BackendParams
28import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
29import xiangshan.backend.fu.{FuConfig, FuType}
30import xiangshan.frontend.FtqPtr
31import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr}
32import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
33import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo}
34import xiangshan.backend.fu.vector.Bundles.VType
35import xiangshan.backend.rename.SnapshotGenerator
36import yunsuan.VfaluType
37import xiangshan.backend.rob.RobBundles._
38
39class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter {
40  override def shouldBeInlined: Boolean = false
41
42  lazy val module = new RobImp(this)(p, params)
43}
44
45class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper)
46  with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents {
47
48  private val LduCnt = params.LduCnt
49  private val StaCnt = params.StaCnt
50  private val HyuCnt = params.HyuCnt
51
52  val io = IO(new Bundle() {
53    val hartId = Input(UInt(hartIdLen.W))
54    val redirect = Input(Valid(new Redirect))
55    val enq = new RobEnqIO
56    val flushOut = ValidIO(new Redirect)
57    val exception = ValidIO(new ExceptionInfo)
58    // exu + brq
59    val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
60    val writebackNums = Flipped(Vec(writeback.size - params.StdCnt, ValidIO(UInt(writeback.size.U.getWidth.W))))
61    val commits = Output(new RobCommitIO)
62    val rabCommits = Output(new RabCommitIO)
63    val diffCommits = if (backendParams.debugEn) Some(Output(new DiffCommitIO)) else None
64    val isVsetFlushPipe = Output(Bool())
65    val lsq = new RobLsqIO
66    val robDeqPtr = Output(new RobPtr)
67    val csr = new RobCSRIO
68    val snpt = Input(new SnapshotPort)
69    val robFull = Output(Bool())
70    val headNotReady = Output(Bool())
71    val cpu_halt = Output(Bool())
72    val wfi_enable = Input(Bool())
73    val toDecode = new Bundle {
74      val isResumeVType = Output(Bool())
75      val walkVType = ValidIO(VType())
76      val commitVType = new Bundle {
77        val vtype = ValidIO(VType())
78        val hasVsetvl = Output(Bool())
79      }
80    }
81    val fromDecode = new Bundle {
82      val lastSpecVType = Flipped(Valid(new VType))
83      val specVtype = Input(new VType)
84    }
85    val readGPAMemAddr = ValidIO(new Bundle {
86      val ftqPtr = new FtqPtr()
87      val ftqOffset = UInt(log2Up(PredictWidth).W)
88    })
89    val readGPAMemData = Input(UInt(GPAddrBits.W))
90
91    val debug_ls = Flipped(new DebugLSIO)
92    val debugRobHead = Output(new DynInst)
93    val debugEnqLsq = Input(new LsqEnqIO)
94    val debugHeadLsIssue = Input(Bool())
95    val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo))
96    val debugTopDown = new Bundle {
97      val toCore = new RobCoreTopDownIO
98      val toDispatch = new RobDispatchTopDownIO
99      val robHeadLqIdx = Valid(new LqPtr)
100    }
101    val debugRolling = new RobDebugRollingIO
102  })
103
104  val exuWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(!_.bits.params.hasStdFu).toSeq
105  val stdWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(_.bits.params.hasStdFu).toSeq
106  val fflagsWBs = io.writeback.filter(x => x.bits.fflags.nonEmpty)
107  val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty)
108  val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty)
109  val vxsatWBs = io.writeback.filter(x => x.bits.vxsat.nonEmpty)
110
111  val numExuWbPorts = exuWBs.length
112  val numStdWbPorts = stdWBs.length
113  val bankAddrWidth = log2Up(CommitWidth)
114
115  println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth")
116
117  val rab = Module(new RenameBuffer(RabSize))
118  val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize))
119  val bankNum = 8
120  assert(RobSize % bankNum == 0, "RobSize % bankNum must be 0")
121  val robEntries = Reg(Vec(RobSize, new RobEntryBundle))
122  // pointers
123  // For enqueue ptr, we don't duplicate it since only enqueue needs it.
124  val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr))
125  val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr))
126  val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr))
127  val walkPtrTrue = Reg(new RobPtr)
128  val lastWalkPtr = Reg(new RobPtr)
129  val allowEnqueue = RegInit(true.B)
130
131  /**
132   * Enqueue (from dispatch)
133   */
134  // special cases
135  val hasBlockBackward = RegInit(false.B)
136  val hasWaitForward = RegInit(false.B)
137  val doingSvinval = RegInit(false.B)
138  val enqPtr = enqPtrVec(0)
139  val deqPtr = deqPtrVec(0)
140  val walkPtr = walkPtrVec(0)
141  val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop)))))
142  io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq
143  io.enq.resp := allocatePtrVec
144  val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept))
145  val timer = GTimer()
146  // robEntries enqueue
147  for (i <- 0 until RobSize) {
148    val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2))
149    assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot")
150    when(enqOH.asUInt.orR && !io.redirect.valid){
151      connectEnq(robEntries(i), Mux1H(enqOH, io.enq.req.map(_.bits)))
152    }
153  }
154  // robBanks0 include robidx : 0 8 16 24 32 ...
155  val robBanks = VecInit((0 until bankNum).map(i => VecInit(robEntries.zipWithIndex.filter(_._2 % bankNum == i).map(_._1))))
156  // each Bank has 20 Entries, read addr is one hot
157  // all banks use same raddr
158  val eachBankEntrieNum = robBanks(0).length
159  val robBanksRaddrThisLine = RegInit(1.U(eachBankEntrieNum.W))
160  val robBanksRaddrNextLine = Wire(UInt(eachBankEntrieNum.W))
161  robBanksRaddrThisLine := robBanksRaddrNextLine
162  val bankNumWidth = log2Up(bankNum)
163  val deqPtrWidth = deqPtr.value.getWidth
164  val robIdxThisLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth), i.U(bankNumWidth.W))))
165  val robIdxNextLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth) + 1.U, i.U(bankNumWidth.W))))
166  // robBanks read
167  val robBanksRdataThisLine = VecInit(robBanks.map{ case bank =>
168    Mux1H(robBanksRaddrThisLine, bank)
169  })
170  val robBanksRdataNextLine = VecInit(robBanks.map{ case bank =>
171    val shiftBank = bank.drop(1) :+ bank(0)
172    Mux1H(robBanksRaddrThisLine, shiftBank)
173  })
174  val robBanksRdataThisLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle))
175  val robBanksRdataNextLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle))
176  val commitValidThisLine = Wire(Vec(CommitWidth, Bool()))
177  val hasCommitted = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
178  val donotNeedWalk = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
179  val allCommitted = Wire(Bool())
180
181  when(allCommitted) {
182    hasCommitted := 0.U.asTypeOf(hasCommitted)
183  }.elsewhen(io.commits.isCommit){
184    for (i <- 0 until CommitWidth){
185      hasCommitted(i) := commitValidThisLine(i) || hasCommitted(i)
186    }
187  }
188  allCommitted := io.commits.isCommit && commitValidThisLine.last
189  val walkPtrHead = Wire(new RobPtr)
190  val changeBankAddrToDeqPtr = (walkPtrVec.head + CommitWidth.U) > lastWalkPtr
191  when(io.redirect.valid){
192    robBanksRaddrNextLine := UIntToOH(walkPtrHead.value(walkPtrHead.value.getWidth-1, bankAddrWidth))
193  }.elsewhen(allCommitted || io.commits.isWalk && !changeBankAddrToDeqPtr){
194    robBanksRaddrNextLine := Mux(robBanksRaddrThisLine.head(1) === 1.U, 1.U, robBanksRaddrThisLine << 1)
195  }.elsewhen(io.commits.isWalk && changeBankAddrToDeqPtr){
196    robBanksRaddrNextLine := UIntToOH(deqPtr.value(deqPtr.value.getWidth-1, bankAddrWidth))
197  }.otherwise(
198    robBanksRaddrNextLine := robBanksRaddrThisLine
199  )
200  val robDeqGroup = Reg(Vec(bankNum, new RobCommitEntryBundle))
201  val commitInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1,0)))).toSeq
202  val walkInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(walkPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq
203  for (i <- 0 until CommitWidth) {
204    connectCommitEntry(robDeqGroup(i), robBanksRdataThisLineUpdate(i))
205    when(allCommitted){
206      connectCommitEntry(robDeqGroup(i), robBanksRdataNextLineUpdate(i))
207    }
208  }
209  // data for debug
210  // Warn: debug_* prefix should not exist in generated verilog.
211  val debug_microOp = DebugMem(RobSize, new DynInst)
212  val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W))) //for debug
213  val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle)) //for debug
214  val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init)))
215  val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init)))
216  val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B))
217  val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B))
218
219  val isEmpty = enqPtr === deqPtr
220  val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _)
221  val snapshotPtrVec = Wire(Vec(CommitWidth, new RobPtr))
222  snapshotPtrVec(0) := io.enq.req(0).bits.robIdx
223  for (i <- 1 until CommitWidth) {
224    snapshotPtrVec(i) := snapshotPtrVec(0) + i.U
225  }
226  val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec)
227  val debug_lsIssue = WireDefault(debug_lsIssued)
228  debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue
229
230  /**
231   * states of Rob
232   */
233  val s_idle :: s_walk :: Nil = Enum(2)
234  val state = RegInit(s_idle)
235
236  val exceptionGen = Module(new ExceptionGen(params))
237  val exceptionDataRead = exceptionGen.io.state
238  val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W)))
239  val vxsatDataRead = Wire(Vec(CommitWidth, Bool()))
240  io.robDeqPtr := deqPtr
241  io.debugRobHead := debug_microOp(deqPtr.value)
242
243  /**
244   * connection of [[rab]]
245   */
246  rab.io.redirect.valid := io.redirect.valid
247
248  rab.io.req.zip(io.enq.req).map { case (dest, src) =>
249    dest.bits := src.bits
250    dest.valid := src.valid && io.enq.canAccept
251  }
252
253  val walkDestSizeDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W))))
254  val realDestSizeSeq = VecInit(robDeqGroup.zip(hasCommitted).map{case (r, h) => Mux(h, 0.U, r.realDestSize)})
255  val walkDestSizeSeq = VecInit(robDeqGroup.zip(donotNeedWalk).map{case (r, d) => Mux(d, 0.U, r.realDestSize)})
256  val commitSizeSumSeq = VecInit((0 until CommitWidth).map(i => realDestSizeSeq.take(i + 1).reduce(_ +& _)))
257  val walkSizeSumSeq   = VecInit((0 until CommitWidth).map(i => walkDestSizeSeq.take(i + 1).reduce(_ +& _)))
258  val commitSizeSumCond = VecInit(commitValidThisLine.zip(hasCommitted).map{case (c,h) => (c || h) && io.commits.isCommit})
259  val walkSizeSumCond   = VecInit(io.commits.walkValid.zip(donotNeedWalk).map{case (w,d) => (w || d) && io.commits.isWalk})
260  val commitSizeSum = PriorityMuxDefault(commitSizeSumCond.reverse.zip(commitSizeSumSeq.reverse), 0.U)
261  val walkSizeSum   = PriorityMuxDefault(walkSizeSumCond.reverse.zip(walkSizeSumSeq.reverse), 0.U)
262
263  rab.io.fromRob.commitSize := commitSizeSum
264  rab.io.fromRob.walkSize := walkSizeSum
265  rab.io.snpt := io.snpt
266  rab.io.snpt.snptEnq := snptEnq
267
268  io.rabCommits := rab.io.commits
269  io.diffCommits.foreach(_ := rab.io.diffCommits.get)
270
271  /**
272   * connection of [[vtypeBuffer]]
273   */
274
275  vtypeBuffer.io.redirect.valid := io.redirect.valid
276
277  vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) =>
278    sink.valid := source.valid && io.enq.canAccept
279    sink.bits := source.bits
280  }
281
282  private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset })
283  private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(walkInfo).map { case (valid, info) => io.commits.isWalk && valid && info.isVset })
284  vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec)
285  vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec)
286  vtypeBuffer.io.snpt := io.snpt
287  vtypeBuffer.io.snpt.snptEnq := snptEnq
288  io.toDecode.isResumeVType := vtypeBuffer.io.toDecode.isResumeVType
289  io.toDecode.commitVType := vtypeBuffer.io.toDecode.commitVType
290  io.toDecode.walkVType := vtypeBuffer.io.toDecode.walkVType
291  vtypeBuffer.io.fromDecode.lastSpecVType := io.fromDecode.lastSpecVType
292  vtypeBuffer.io.fromDecode.specVtype := io.fromDecode.specVtype
293
294  // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B
295  // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty.
296  when(isEmpty) {
297    hasBlockBackward := false.B
298  }
299  // When any instruction commits, hasNoSpecExec should be set to false.B
300  when(io.commits.hasWalkInstr || io.commits.hasCommitInstr) {
301    hasWaitForward := false.B
302  }
303
304  // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing.
305  // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle.
306  // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts.
307  val hasWFI = RegInit(false.B)
308  io.cpu_halt := hasWFI
309  // WFI Timeout: 2^20 = 1M cycles
310  val wfi_cycles = RegInit(0.U(20.W))
311  when(hasWFI) {
312    wfi_cycles := wfi_cycles + 1.U
313  }.elsewhen(!hasWFI && RegNext(hasWFI)) {
314    wfi_cycles := 0.U
315  }
316  val wfi_timeout = wfi_cycles.andR
317  when(RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) {
318    hasWFI := false.B
319  }
320
321  for (i <- 0 until RenameWidth) {
322    // we don't check whether io.redirect is valid here since redirect has higher priority
323    when(canEnqueue(i)) {
324      val enqUop = io.enq.req(i).bits
325      val enqIndex = allocatePtrVec(i).value
326      // store uop in data module and debug_microOp Vec
327      debug_microOp(enqIndex) := enqUop
328      debug_microOp(enqIndex).debugInfo.dispatchTime := timer
329      debug_microOp(enqIndex).debugInfo.enqRsTime := timer
330      debug_microOp(enqIndex).debugInfo.selectTime := timer
331      debug_microOp(enqIndex).debugInfo.issueTime := timer
332      debug_microOp(enqIndex).debugInfo.writebackTime := timer
333      debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer
334      debug_microOp(enqIndex).debugInfo.tlbRespTime := timer
335      debug_lsInfo(enqIndex) := DebugLsInfo.init
336      debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init
337      debug_lqIdxValid(enqIndex) := false.B
338      debug_lsIssued(enqIndex) := false.B
339      when (enqUop.waitForward) {
340        hasWaitForward := true.B
341      }
342      val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire
343      val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR
344      // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process
345      when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) {
346        doingSvinval := true.B
347      }
348      // the end instruction of Svinval enqs so clear doingSvinval
349      when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) {
350        doingSvinval := false.B
351      }
352      // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear
353      assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe)))
354      when(enqUop.isWFI && !enqHasException && !enqHasTriggerCanFire) {
355        hasWFI := true.B
356      }
357
358      robEntries(enqIndex).mmio := false.B
359      robEntries(enqIndex).vls := enqUop.vlsInstr
360    }
361  }
362
363  for (i <- 0 until RenameWidth) {
364    val enqUop = io.enq.req(i)
365    when(enqUop.valid && enqUop.bits.blockBackward && io.enq.canAccept) {
366      hasBlockBackward := true.B
367    }
368  }
369
370  val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U)
371  io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR)
372
373  when(!io.wfi_enable) {
374    hasWFI := false.B
375  }
376  // sel vsetvl's flush position
377  val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3)
378  val vsetvlState = RegInit(vs_idle)
379
380  val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr))
381  val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W)))
382  val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr))
383
384  val enq0 = io.enq.req(0)
385  val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0)
386  val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe
387  val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map { case (req, fire) => FuType.isVArith(req.bits.fuType) && fire }
388  // for vs_idle
389  val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType)))
390  // for vs_waitVinstr
391  val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1)
392  val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req)
393  when(vsetvlState === vs_idle) {
394    firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr
395    firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset
396    firstVInstrRobIdx := firstVInstrIdle.bits.robIdx
397  }.elsewhen(vsetvlState === vs_waitVinstr) {
398    when(Cat(enqIsVInstrOrVset).orR) {
399      firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr
400      firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset
401      firstVInstrRobIdx := firstVInstrWait.bits.robIdx
402    }
403  }
404
405  val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR
406  when(vsetvlState === vs_idle && !io.redirect.valid) {
407    when(enq0IsVsetFlush) {
408      vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr)
409    }
410  }.elsewhen(vsetvlState === vs_waitVinstr) {
411    when(io.redirect.valid) {
412      vsetvlState := vs_idle
413    }.elsewhen(Cat(enqIsVInstrOrVset).orR) {
414      vsetvlState := vs_waitFlush
415    }
416  }.elsewhen(vsetvlState === vs_waitFlush) {
417    when(io.redirect.valid) {
418      vsetvlState := vs_idle
419    }
420  }
421
422  // lqEnq
423  io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) =>
424    when(io.debugEnqLsq.canAccept && alloc && req.valid) {
425      debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx
426      debug_lqIdxValid(req.bits.robIdx.value) := true.B
427    }
428  }
429
430  // lsIssue
431  when(io.debugHeadLsIssue) {
432    debug_lsIssued(deqPtr.value) := true.B
433  }
434
435  /**
436   * Writeback (from execution units)
437   */
438  for (wb <- exuWBs) {
439    when(wb.valid) {
440      val wbIdx = wb.bits.robIdx.value
441      debug_exuData(wbIdx) := wb.bits.data
442      debug_exuDebug(wbIdx) := wb.bits.debug
443      debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime
444      debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime
445      debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime
446      debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime
447
448      // debug for lqidx and sqidx
449      debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr))
450      debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr))
451
452      val debug_Uop = debug_microOp(wbIdx)
453      XSInfo(true.B,
454        p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " +
455          p"data 0x${Hexadecimal(wb.bits.data)} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " +
456          p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n"
457      )
458    }
459  }
460
461  val writebackNum = PopCount(exuWBs.map(_.valid))
462  XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum)
463
464  for (i <- 0 until LoadPipelineWidth) {
465    when(RegNext(io.lsq.mmio(i))) {
466      robEntries(RegEnable(io.lsq.uop(i).robIdx, io.lsq.mmio(i)).value).mmio := true.B
467    }
468  }
469
470
471  /**
472   * RedirectOut: Interrupt and Exceptions
473   */
474  val deqDispatchData = robEntries(deqPtr.value)
475  val debug_deqUop = debug_microOp(deqPtr.value)
476
477  val intrBitSetReg = RegNext(io.csr.intrBitSet)
478  val intrEnable = intrBitSetReg && !hasWaitForward && robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe
479  val deqHasExceptionOrFlush = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr
480  val deqHasException = deqHasExceptionOrFlush && (exceptionDataRead.bits.exceptionVec.asUInt.orR ||
481    exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.canFire)
482  val deqHasFlushPipe = deqHasExceptionOrFlush && exceptionDataRead.bits.flushPipe
483  val deqHasReplayInst = deqHasExceptionOrFlush && exceptionDataRead.bits.replayInst
484  val exceptionEnable = robEntries(deqPtr.value).isWritebacked && deqHasException
485
486  XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n")
487  XSDebug(deqHasException && exceptionDataRead.bits.trigger.getFrontendCanFire, "Debug Mode: Deq has frontend trigger exception\n")
488  XSDebug(deqHasException && exceptionDataRead.bits.trigger.getBackendCanFire, "Debug Mode: Deq has backend trigger exception\n")
489
490  val isFlushPipe = robEntries(deqPtr.value).isWritebacked && (deqHasFlushPipe || deqHasReplayInst)
491
492  val isVsetFlushPipe = robEntries(deqPtr.value).isWritebacked && deqHasFlushPipe && exceptionDataRead.bits.isVset
493  //  val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush)
494  val needModifyFtqIdxOffset = false.B
495  io.isVsetFlushPipe := isVsetFlushPipe
496  // io.flushOut will trigger redirect at the next cycle.
497  // Block any redirect or commit at the next cycle.
498  val lastCycleFlush = RegNext(io.flushOut.valid)
499
500  io.flushOut.valid := (state === s_idle) && robEntries(deqPtr.value).valid && (intrEnable || exceptionEnable || isFlushPipe) && !lastCycleFlush
501  io.flushOut.bits := DontCare
502  io.flushOut.bits.isRVC := deqDispatchData.isRVC
503  io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr)
504  io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx)
505  io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset)
506  io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || exceptionEnable || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next"
507  io.flushOut.bits.interrupt := true.B
508  XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable)
509  XSPerfAccumulate("exception_num", io.flushOut.valid && exceptionEnable)
510  XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe)
511  XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst)
512
513  val exceptionHappen = (state === s_idle) && robEntries(deqPtr.value).valid && (intrEnable || exceptionEnable) && !lastCycleFlush
514  io.exception.valid := RegNext(exceptionHappen)
515  io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen)
516  io.exception.bits.gpaddr := io.readGPAMemData
517  io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen)
518  io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen)
519  io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen)
520  io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen)
521  io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen)
522  io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen)
523  io.exception.bits.isHls := RegEnable(deqDispatchData.isHls, exceptionHappen)
524  io.exception.bits.vls := RegEnable(robEntries(deqPtr.value).vls, exceptionHappen)
525  io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen)
526  io.csr.vstart.valid := RegEnable(exceptionDataRead.bits.vstartEn, false.B, exceptionHappen)
527  io.csr.vstart.bits := RegEnable(exceptionDataRead.bits.vstart, exceptionHappen)
528
529  // data will be one cycle after valid
530  io.readGPAMemAddr.valid := exceptionHappen
531  io.readGPAMemAddr.bits.ftqPtr := exceptionDataRead.bits.ftqPtr
532  io.readGPAMemAddr.bits.ftqOffset := exceptionDataRead.bits.ftqOffset
533
534  XSDebug(io.flushOut.valid,
535    p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " +
536      p"excp $exceptionEnable flushPipe $isFlushPipe " +
537      p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n")
538
539
540  /**
541   * Commits (and walk)
542   * They share the same width.
543   */
544  // T redirect.valid, T+1 use walkPtrVec read robEntries, T+2 start walk, shouldWalkVec used in T+2
545  val shouldWalkVec = Wire(Vec(CommitWidth,Bool()))
546  val walkingPtrVec = RegNext(walkPtrVec)
547  when(io.redirect.valid){
548    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
549  }.elsewhen(RegNext(io.redirect.valid)){
550    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
551  }.elsewhen(state === s_walk){
552    shouldWalkVec := VecInit(walkingPtrVec.map(_ <= lastWalkPtr).zip(donotNeedWalk).map(x => x._1 && !x._2))
553  }.otherwise(
554    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
555  )
556  val walkFinished = walkPtrTrue > lastWalkPtr
557  rab.io.fromRob.walkEnd := state === s_walk && walkFinished
558  vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished
559
560  require(RenameWidth <= CommitWidth)
561
562  // wiring to csr
563  val (wflags, dirtyFs) = (0 until CommitWidth).map(i => {
564    val v = io.commits.commitValid(i)
565    val info = io.commits.info(i)
566    (v & info.wflags, v & info.dirtyFs)
567  }).unzip
568  val fflags = Wire(Valid(UInt(5.W)))
569  fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR
570  fflags.bits := wflags.zip(fflagsDataRead).map({
571    case (w, f) => Mux(w, f, 0.U)
572  }).reduce(_ | _)
573  val dirtyVs = (0 until CommitWidth).map(i => {
574    val v = io.commits.commitValid(i)
575    val info = io.commits.info(i)
576    v & info.dirtyVs
577  })
578  val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR
579  val dirty_vs = io.commits.isCommit && VecInit(dirtyVs).asUInt.orR
580
581  val vxsat = Wire(Valid(Bool()))
582  vxsat.valid := io.commits.isCommit && vxsat.bits
583  vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map {
584    case (valid, vxsat) => valid & vxsat
585  }.reduce(_ | _)
586
587  // when mispredict branches writeback, stop commit in the next 2 cycles
588  // TODO: don't check all exu write back
589  val misPredWb = Cat(VecInit(redirectWBs.map(wb =>
590    wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid
591  ).toSeq)).orR
592  val misPredBlockCounter = Reg(UInt(3.W))
593  misPredBlockCounter := Mux(misPredWb,
594    "b111".U,
595    misPredBlockCounter >> 1.U
596  )
597  val misPredBlock = misPredBlockCounter(0)
598  val blockCommit = misPredBlock || lastCycleFlush || hasWFI || io.redirect.valid
599
600  io.commits.isWalk := state === s_walk
601  io.commits.isCommit := state === s_idle && !blockCommit
602
603  val walk_v = VecInit(walkingPtrVec.map(ptr => robEntries(ptr.value).valid))
604  val commit_vDeqGroup = VecInit(robDeqGroup.map(_.commit_v))
605  val commit_wDeqGroup = VecInit(robDeqGroup.map(_.commit_w))
606  val realCommitLast = deqPtrVec(0).lineHeadPtr + Fill(bankAddrWidth, 1.U)
607  val commit_exception = exceptionDataRead.valid && !isAfter(exceptionDataRead.bits.robIdx, realCommitLast)
608  val commit_block = VecInit((0 until CommitWidth).map(i => !commit_wDeqGroup(i) && !hasCommitted(i)))
609  val allowOnlyOneCommit = commit_exception || intrBitSetReg
610  // for instructions that may block others, we don't allow them to commit
611  io.commits.commitValid := PriorityMux(commitValidThisLine, (0 until CommitWidth).map(i => (commitValidThisLine.asUInt >> i).asUInt.asTypeOf(io.commits.commitValid)))
612  for (i <- 0 until CommitWidth) {
613    // defaults: state === s_idle and instructions commit
614    // when intrBitSetReg, allow only one instruction to commit at each clock cycle
615    val isBlocked = intrEnable || deqHasException || deqHasReplayInst
616    val isBlockedByOlder = if (i != 0) commit_block.asUInt(i, 0).orR || allowOnlyOneCommit && !hasCommitted.asUInt(i - 1, 0).andR else false.B
617    commitValidThisLine(i) := commit_vDeqGroup(i) && commit_wDeqGroup(i) && !isBlocked && !isBlockedByOlder && !hasCommitted(i)
618    io.commits.info(i) := commitInfo(i)
619    io.commits.robIdx(i) := deqPtrVec(i)
620
621    io.commits.walkValid(i) := shouldWalkVec(i)
622    when(state === s_walk) {
623      when(io.commits.isWalk && state === s_walk && shouldWalkVec(i)) {
624        XSError(!walk_v(i), s"The walking entry($i) should be valid\n")
625      }
626    }
627
628    XSInfo(io.commits.isCommit && io.commits.commitValid(i),
629      "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n",
630      debug_microOp(deqPtrVec(i).value).pc,
631      io.commits.info(i).rfWen,
632      io.commits.info(i).debug_ldest.getOrElse(0.U),
633      io.commits.info(i).debug_pdest.getOrElse(0.U),
634      debug_exuData(deqPtrVec(i).value),
635      fflagsDataRead(i),
636      vxsatDataRead(i)
637    )
638    XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n",
639      debug_microOp(walkPtrVec(i).value).pc,
640      io.commits.info(i).rfWen,
641      io.commits.info(i).debug_ldest.getOrElse(0.U),
642      debug_exuData(walkPtrVec(i).value)
643    )
644  }
645
646  // sync fflags/dirty_fs/vxsat to csr
647  io.csr.fflags := RegNext(fflags)
648  io.csr.dirty_fs := RegNext(dirty_fs)
649  io.csr.dirty_vs := RegNext(dirty_vs)
650  io.csr.vxsat := RegNext(vxsat)
651
652  // sync v csr to csr
653  // for difftest
654  if (env.AlwaysBasicDiff || env.EnableDifftest) {
655    val isDiffWriteVconfigVec = io.diffCommits.get.commitValid.zip(io.diffCommits.get.info).map { case (valid, info) => valid && info.ldest === VCONFIG_IDX.U && info.vecWen }.reverse
656    io.csr.vcsrFlag := RegNext(io.diffCommits.get.isCommit && Cat(isDiffWriteVconfigVec).orR)
657  }
658  else {
659    io.csr.vcsrFlag := false.B
660  }
661
662  // commit load/store to lsq
663  val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD))
664  // TODO: Check if meet the require that only set scommit when commit scala store uop
665  val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE && !robEntries(deqPtrVec(i).value).vls ))
666  val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr)))
667  io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U))
668  io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U))
669  // indicate a pending load or store
670  io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).mmio)
671  // TODO: Check if need deassert pendingst when it is vst
672  io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid)
673  // TODO: Check if set correctly when vector store is at the head of ROB
674  io.lsq.pendingVst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).vls)
675  io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0))
676  io.lsq.pendingPtr := RegNext(deqPtr)
677  io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head)
678
679  /**
680   * state changes
681   * (1) redirect: switch to s_walk
682   * (2) walk: when walking comes to the end, switch to s_idle
683   */
684  val state_next = Mux(
685    io.redirect.valid || RegNext(io.redirect.valid), s_walk,
686    Mux(
687      state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle,
688      state
689    )
690  )
691  XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle)
692  XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk)
693  XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle)
694  XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk)
695  state := state_next
696
697  /**
698   * pointers and counters
699   */
700  val deqPtrGenModule = Module(new NewRobDeqPtrWrapper)
701  deqPtrGenModule.io.state := state
702  deqPtrGenModule.io.deq_v := commit_vDeqGroup
703  deqPtrGenModule.io.deq_w := commit_wDeqGroup
704  deqPtrGenModule.io.exception_state := exceptionDataRead
705  deqPtrGenModule.io.intrBitSetReg := intrBitSetReg
706  deqPtrGenModule.io.hasNoSpecExec := hasWaitForward
707  deqPtrGenModule.io.interrupt_safe := robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe
708  deqPtrGenModule.io.blockCommit := blockCommit
709  deqPtrGenModule.io.hasCommitted := hasCommitted
710  deqPtrGenModule.io.allCommitted := allCommitted
711  deqPtrVec := deqPtrGenModule.io.out
712  deqPtrVec_next := deqPtrGenModule.io.next_out
713
714  val enqPtrGenModule = Module(new RobEnqPtrWrapper)
715  enqPtrGenModule.io.redirect := io.redirect
716  enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq
717  enqPtrGenModule.io.hasBlockBackward := hasBlockBackward
718  enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop))
719  enqPtrVec := enqPtrGenModule.io.out
720
721  // next walkPtrVec:
722  // (1) redirect occurs: update according to state
723  // (2) walk: move forwards
724  val deqPtrReadBank = deqPtrVec_next(0).lineHeadPtr
725  val deqPtrVecForWalk = VecInit((0 until CommitWidth).map(i => deqPtrReadBank + i.U))
726  val snapPtrReadBank = snapshots(io.snpt.snptSelect)(0).lineHeadPtr
727  val snapPtrVecForWalk = VecInit((0 until CommitWidth).map(i => snapPtrReadBank + i.U))
728  val walkPtrVec_next: Vec[RobPtr] = Mux(io.redirect.valid,
729    Mux(io.snpt.useSnpt, snapPtrVecForWalk, deqPtrVecForWalk),
730    Mux((state === s_walk) && !walkFinished, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec)
731  )
732  val walkPtrTrue_next: RobPtr = Mux(io.redirect.valid,
733    Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0), deqPtrVec_next(0)),
734    Mux((state === s_walk) && !walkFinished, walkPtrVec_next.head, walkPtrTrue)
735  )
736  walkPtrHead := walkPtrVec_next.head
737  walkPtrVec := walkPtrVec_next
738  walkPtrTrue := walkPtrTrue_next
739  // T io.redirect.valid, T+1 walkPtrLowBits update, T+2 donotNeedWalk update
740  val walkPtrLowBits = Reg(UInt(bankAddrWidth.W))
741  when(io.redirect.valid){
742    walkPtrLowBits := Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0).value(bankAddrWidth-1, 0), deqPtrVec_next(0).value(bankAddrWidth-1, 0))
743  }
744  when(io.redirect.valid) {
745    donotNeedWalk := Fill(donotNeedWalk.length, true.B).asTypeOf(donotNeedWalk)
746  }.elsewhen(RegNext(io.redirect.valid)){
747    donotNeedWalk := (0 until CommitWidth).map(i => (i.U < walkPtrLowBits))
748  }.otherwise{
749    donotNeedWalk := 0.U.asTypeOf(donotNeedWalk)
750  }
751  walkDestSizeDeqGroup.zip(walkPtrVec_next).map {
752    case (reg, ptrNext) => reg := robEntries(deqPtr.value).realDestSize
753  }
754  val numValidEntries = distanceBetween(enqPtr, deqPtr)
755  val commitCnt = PopCount(io.commits.commitValid)
756
757  allowEnqueue := numValidEntries + dispatchNum <= (RobSize - CommitWidth).U
758
759  val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0))
760  when(io.redirect.valid) {
761    lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx)
762  }
763
764
765  /**
766   * States
767   * We put all the stage bits changes here.
768   *
769   * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit);
770   * All states: (1) valid; (2) writebacked; (3) flagBkup
771   */
772
773  val deqPtrGroup = Wire(Vec(2 * CommitWidth, new RobPtr))
774  deqPtrGroup.zipWithIndex.map { case (deq, i) => deq := deqPtrVec(0) + i.U }
775  val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value)))
776
777  val redirectValidReg = RegNext(io.redirect.valid)
778  val redirectBegin = Reg(UInt(log2Up(RobSize).W))
779  val redirectEnd = Reg(UInt(log2Up(RobSize).W))
780  when(io.redirect.valid){
781    redirectBegin := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx.value - 1.U, io.redirect.bits.robIdx.value)
782    redirectEnd := enqPtr.value
783  }
784
785  // update robEntries valid
786  for (i <- 0 until RobSize) {
787    val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2))
788    val commitCond = io.commits.isCommit && io.commits.commitValid.zip(deqPtrVec.map(_.value === i.U)).map(x => x._1 && x._2).reduce(_ || _)
789    assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot")
790    val needFlush = redirectValidReg && Mux(
791      redirectEnd > redirectBegin,
792      (i.U > redirectBegin) && (i.U < redirectEnd),
793      (i.U > redirectBegin) || (i.U < redirectEnd)
794    )
795    when(reset.asBool) {
796      robEntries(i).valid := false.B
797    }.elsewhen(commitCond) {
798      robEntries(i).valid := false.B
799    }.elsewhen(enqOH.asUInt.orR && !io.redirect.valid) {
800      robEntries(i).valid := true.B
801    }.elsewhen(needFlush){
802      robEntries(i).valid := false.B
803    }
804  }
805
806  // debug_inst update
807  for (i <- 0 until (LduCnt + StaCnt)) {
808    debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i))
809    debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i))
810    debug_lsInfo(io.debug_ls.debugLsInfo(i).s3_robIdx).s3SignalEnable(io.debug_ls.debugLsInfo(i))
811  }
812  for (i <- 0 until LduCnt) {
813    debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i))
814    debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i))
815  }
816
817  // status field: writebacked
818  // enqueue logic set 6 writebacked to false
819  for (i <- 0 until RenameWidth) {
820    when(canEnqueue(i)) {
821      val enqHasException = ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec).asUInt.orR
822      val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire
823      val enqIsWritebacked = io.enq.req(i).bits.eliminatedMove
824      val isStu = FuType.isStore(io.enq.req(i).bits.fuType)
825      robEntries(allocatePtrVec(i).value).commitTrigger := enqIsWritebacked && !enqHasException && !enqHasTriggerCanFire && !isStu
826    }
827  }
828  when(exceptionGen.io.out.valid) {
829    val wbIdx = exceptionGen.io.out.bits.robIdx.value
830    robEntries(wbIdx).commitTrigger := true.B
831  }
832
833  // writeback logic set numWbPorts writebacked to true
834  val blockWbSeq = Wire(Vec(exuWBs.length, Bool()))
835  blockWbSeq.map(_ := false.B)
836  for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) {
837    when(wb.valid) {
838      val wbIdx = wb.bits.robIdx.value
839      val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR
840      val wbHasTriggerCanFire = wb.bits.trigger.getOrElse(0.U).asTypeOf(io.enq.req(0).bits.trigger).getBackendCanFire //Todo: wb.bits.trigger.getHitBackend
841      val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B)
842      val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst
843      blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerCanFire
844      robEntries(wbIdx).commitTrigger := !blockWb
845    }
846  }
847
848  // if the first uop of an instruction is valid , write writebackedCounter
849  val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid)
850  val instEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid && req.bits.firstUop)
851  val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf)
852  val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value)
853  val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops))
854  val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB))
855  val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove))
856
857  private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map {
858    req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType)
859  })
860  val fflags_wb = fflagsWBs
861  val vxsat_wb = vxsatWBs
862  for (i <- 0 until RobSize) {
863
864    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U)
865    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
866    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
867    val instCanEnqFlag = Cat(instCanEnqSeq).orR
868    val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid })
869    when(!robEntries(i).valid && instCanEnqFlag){
870      robEntries(i).realDestSize := realDestEnqNum
871    }.elsewhen(robEntries(i).valid && Cat(uopCanEnqSeq).orR){
872      robEntries(i).realDestSize := robEntries(i).realDestSize + realDestEnqNum
873    }
874    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
875    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
876    val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec)
877    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
878
879    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
880    val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb }
881    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U))
882    val wbCnt = Mux1H(canWbNoBlockSeq, io.writebackNums.map(_.bits))
883
884    val exceptionHas = RegInit(false.B)
885    val exceptionHasWire = Wire(Bool())
886    exceptionHasWire := MuxCase(exceptionHas, Seq(
887      (robEntries(i).valid && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === i.U) -> true.B,
888      !robEntries(i).valid -> false.B
889    ))
890    exceptionHas := exceptionHasWire
891
892    when(exceptionHas || exceptionHasWire) {
893      // exception flush
894      robEntries(i).uopNum := 0.U
895      robEntries(i).stdWritebacked := true.B
896    }.elsewhen(!robEntries(i).valid && instCanEnqFlag) {
897      // enq set num of uops
898      robEntries(i).uopNum := enqWBNum
899      robEntries(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B)
900    }.elsewhen(robEntries(i).valid) {
901      // update by writing back
902      robEntries(i).uopNum := robEntries(i).uopNum - wbCnt
903      assert(!(robEntries(i).uopNum - wbCnt > robEntries(i).uopNum), s"robEntries $i uopNum is overflow!")
904      when(canStdWbSeq.asUInt.orR) {
905        robEntries(i).stdWritebacked := true.B
906      }
907    }
908
909    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B))
910    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
911    robEntries(i).fflags := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).fflags | fflagsRes)
912
913    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
914    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
915    robEntries(i).vxsat := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).vxsat | vxsatRes)
916  }
917
918  // begin update robBanksRdata
919  val robBanksRdata = VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine)
920  val needUpdate = Wire(Vec(2 * CommitWidth, new RobEntryBundle))
921  needUpdate := VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine)
922  val needUpdateRobIdx = robIdxThisLine ++ robIdxNextLine
923  for (i <- 0 until 2 * CommitWidth) {
924    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === needUpdateRobIdx(i))
925    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
926    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
927    val instCanEnqFlag = Cat(instCanEnqSeq).orR
928    val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid })
929    when(!needUpdate(i).valid && instCanEnqFlag) {
930      needUpdate(i).realDestSize := realDestEnqNum
931    }.elsewhen(needUpdate(i).valid && instCanEnqFlag) {
932      needUpdate(i).realDestSize := robBanksRdata(i).realDestSize + realDestEnqNum
933    }
934    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
935    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
936    val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec)
937    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
938
939    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))
940    val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb }
941    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)))
942    val wbCnt = Mux1H(canWbNoBlockSeq, io.writebackNums.map(_.bits))
943
944    val exceptionHas = RegInit(false.B)
945    val exceptionHasWire = Wire(Bool())
946    exceptionHasWire := MuxCase(exceptionHas, Seq(
947      // allCommitted has high priority, because the robidx in exceptionHas before maybe different from the current one
948      (!needUpdate(i).valid || allCommitted) -> false.B,
949      (needUpdate(i).valid && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === needUpdateRobIdx(i)) -> true.B
950    ))
951    exceptionHas := exceptionHasWire
952
953    when(exceptionHas || exceptionHasWire) {
954      // exception flush
955      needUpdate(i).uopNum := 0.U
956      needUpdate(i).stdWritebacked := true.B
957    }.elsewhen(!needUpdate(i).valid && instCanEnqFlag) {
958      // enq set num of uops
959      needUpdate(i).uopNum := enqWBNum
960      needUpdate(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B)
961    }.elsewhen(needUpdate(i).valid) {
962      // update by writing back
963      needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt
964      when(canStdWbSeq.asUInt.orR) {
965        needUpdate(i).stdWritebacked := true.B
966      }
967    }
968
969    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i) && writeback.bits.wflags.getOrElse(false.B))
970    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
971    needUpdate(i).fflags := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).fflags | fflagsRes)
972
973    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))
974    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
975    needUpdate(i).vxsat := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).vxsat | vxsatRes)
976  }
977  robBanksRdataThisLineUpdate := VecInit(needUpdate.take(8))
978  robBanksRdataNextLineUpdate := VecInit(needUpdate.drop(8))
979  // end update robBanksRdata
980
981  // interrupt_safe
982  for (i <- 0 until RenameWidth) {
983    // We RegNext the updates for better timing.
984    // Note that instructions won't change the system's states in this cycle.
985    when(RegNext(canEnqueue(i))) {
986      // For now, we allow non-load-store instructions to trigger interrupts
987      // For MMIO instructions, they should not trigger interrupts since they may
988      // be sent to lower level before it writes back.
989      // However, we cannot determine whether a load/store instruction is MMIO.
990      // Thus, we don't allow load/store instructions to trigger an interrupt.
991      // TODO: support non-MMIO load-store instructions to trigger interrupts
992      val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType)
993      robEntries(RegEnable(allocatePtrVec(i).value, canEnqueue(i))).interrupt_safe := RegEnable(allow_interrupts, canEnqueue(i))
994    }
995  }
996
997  /**
998   * read and write of data modules
999   */
1000  val commitReadAddr_next = Mux(state_next === s_idle,
1001    VecInit(deqPtrVec_next.map(_.value)),
1002    VecInit(walkPtrVec_next.map(_.value))
1003  )
1004
1005  exceptionGen.io.redirect <> io.redirect
1006  exceptionGen.io.flush := io.flushOut.valid
1007
1008  val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept))
1009  for (i <- 0 until RenameWidth) {
1010    exceptionGen.io.enq(i).valid := canEnqueueEG(i)
1011    exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx
1012    exceptionGen.io.enq(i).bits.ftqPtr := io.enq.req(i).bits.ftqPtr
1013    exceptionGen.io.enq(i).bits.ftqOffset := io.enq.req(i).bits.ftqOffset
1014    exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec)
1015    exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe
1016    exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset
1017    exceptionGen.io.enq(i).bits.replayInst := false.B
1018    XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst")
1019    exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep
1020    exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix
1021    exceptionGen.io.enq(i).bits.trigger.clear()
1022    exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit
1023    exceptionGen.io.enq(i).bits.trigger.frontendCanFire := io.enq.req(i).bits.trigger.frontendCanFire
1024    exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare
1025    exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare
1026  }
1027
1028  println(s"ExceptionGen:")
1029  println(s"num of exceptions: ${params.numException}")
1030  require(exceptionWBs.length == exceptionGen.io.wb.length,
1031    f"exceptionWBs.length: ${exceptionWBs.length}, " +
1032      f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}")
1033  for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) {
1034    exc_wb.valid       := wb.valid
1035    exc_wb.bits.robIdx := wb.bits.robIdx
1036    // only enq inst use ftqPtr to read gpa
1037    exc_wb.bits.ftqPtr          := 0.U.asTypeOf(exc_wb.bits.ftqPtr)
1038    exc_wb.bits.ftqOffset       := 0.U.asTypeOf(exc_wb.bits.ftqOffset)
1039    exc_wb.bits.exceptionVec    := wb.bits.exceptionVec.get
1040    exc_wb.bits.flushPipe       := wb.bits.flushPipe.getOrElse(false.B)
1041    exc_wb.bits.isVset          := false.B
1042    exc_wb.bits.replayInst      := wb.bits.replay.getOrElse(false.B)
1043    exc_wb.bits.singleStep      := false.B
1044    exc_wb.bits.crossPageIPFFix := false.B
1045    // TODO: make trigger configurable
1046    val trigger = wb.bits.trigger.getOrElse(0.U).asTypeOf(exc_wb.bits.trigger)
1047    exc_wb.bits.trigger.clear() // Don't care frontend timing, chain, hit and canFire
1048    exc_wb.bits.trigger.backendHit := trigger.backendHit
1049    exc_wb.bits.trigger.backendCanFire := trigger.backendCanFire
1050    exc_wb.bits.vstartEn := false.B //wb.bits.vstartEn.getOrElse(false.B) // todo need add vstart in ExuOutput
1051    exc_wb.bits.vstart := 0.U //wb.bits.vstart.getOrElse(0.U)
1052    //    println(s"  [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " +
1053    //      s"flushPipe ${configs.exists(_.flushPipe)}, " +
1054    //      s"replayInst ${configs.exists(_.replayInst)}")
1055  }
1056
1057  fflagsDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).fflags)
1058  vxsatDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).vxsat)
1059
1060  val instrCntReg = RegInit(0.U(64.W))
1061  val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) })
1062  val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt
1063  val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U)
1064  val instrCnt = instrCntReg + retireCounter
1065  instrCntReg := instrCnt
1066  io.csr.perfinfo.retiredInstr := retireCounter
1067  io.robFull := !allowEnqueue
1068  io.headNotReady := commit_vDeqGroup.head && !commit_wDeqGroup.head
1069
1070  /**
1071   * debug info
1072   */
1073  XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n")
1074  XSDebug("")
1075  XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n")
1076  for (i <- 0 until RobSize) {
1077    XSDebug(false, !robEntries(i).valid, "-")
1078    XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w")
1079    XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v")
1080  }
1081  XSDebug(false, true.B, "\n")
1082
1083  for (i <- 0 until RobSize) {
1084    if (i % 4 == 0) XSDebug("")
1085    XSDebug(false, true.B, "%x ", debug_microOp(i).pc)
1086    XSDebug(false, !robEntries(i).valid, "- ")
1087    XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w ")
1088    XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v ")
1089    if (i % 4 == 3) XSDebug(false, true.B, "\n")
1090  }
1091
1092  def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U)
1093
1094  def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U)
1095
1096  val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_))
1097  XSPerfAccumulate("clock_cycle", 1.U)
1098  QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U)
1099  XSPerfAccumulate("commitUop", ifCommit(commitCnt))
1100  XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt))
1101  XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset)
1102  XSPerfRolling("cpi", perfCnt = 1.U /*Cycle*/ , eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset)
1103  val commitIsMove = commitInfo.map(_.isMove)
1104  XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m })))
1105  val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove)
1106  XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e })))
1107  XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt))
1108  val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD)
1109  val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map { case (v, t) => v && t }
1110  XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid)))
1111  val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH)
1112  val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map { case (v, t) => v && t }
1113  XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid)))
1114  val commitLoadWaitBit = commitInfo.map(_.loadWaitBit)
1115  XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w })))
1116  val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE)
1117  XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t })))
1118  XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => robEntries(i).valid && robEntries(i).isWritebacked)))
1119  // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire)))
1120  // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready)))
1121  XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U))
1122  XSPerfAccumulate("walkCycleTotal", state === s_walk)
1123  XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd)
1124  private val walkCycle = RegInit(0.U(8.W))
1125  private val waitRabWalkCycle = RegInit(0.U(8.W))
1126  walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1127  waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1128
1129  XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32)
1130  XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32)
1131  XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32)
1132
1133  private val deqNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isWritebacked
1134  private val deqStdNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).stdWritebacked
1135  private val deqUopNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isUopWritebacked
1136  private val deqHeadInfo = debug_microOp(deqPtr.value)
1137  val deqUopCommitType = debug_microOp(deqPtr.value).commitType
1138
1139  XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U)
1140  XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U)
1141  XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U)
1142  XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U)
1143  XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U)
1144  XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U)
1145  XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U)
1146  XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U)
1147  XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U)
1148  XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1149  XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1150  XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1151  XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U)
1152
1153  XSPerfAccumulate("waitVfaluCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfalu.U)
1154  XSPerfAccumulate("waitVfmaCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfma.U)
1155  XSPerfAccumulate("waitVfdivCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfdiv.U)
1156
1157  val vfalufuop = Seq(VfaluType.vfadd, VfaluType.vfwadd, VfaluType.vfwadd_w, VfaluType.vfsub, VfaluType.vfwsub, VfaluType.vfwsub_w, VfaluType.vfmin, VfaluType.vfmax,
1158    VfaluType.vfmerge, VfaluType.vfmv, VfaluType.vfsgnj, VfaluType.vfsgnjn, VfaluType.vfsgnjx, VfaluType.vfeq, VfaluType.vfne, VfaluType.vflt, VfaluType.vfle, VfaluType.vfgt,
1159    VfaluType.vfge, VfaluType.vfclass, VfaluType.vfmv_f_s, VfaluType.vfmv_s_f, VfaluType.vfredusum, VfaluType.vfredmax, VfaluType.vfredmin, VfaluType.vfredosum, VfaluType.vfwredosum)
1160
1161  vfalufuop.zipWithIndex.map{
1162    case(fuoptype,i) =>  XSPerfAccumulate(s"waitVfalu_${i}Cycle", deqStdNotWritebacked && deqHeadInfo.fuOpType === fuoptype && deqHeadInfo.fuType === FuType.vfalu.U)
1163  }
1164
1165
1166
1167  XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL)
1168  XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH)
1169  XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD)
1170  XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE)
1171  XSPerfAccumulate("robHeadPC", io.commits.info(0).debug_pc.getOrElse(0.U))
1172  XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U }))
1173  (2 to RenameWidth).foreach(i =>
1174    XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize === i.U }))
1175  )
1176  XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _))
1177  val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime)
1178  val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime)
1179  val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime)
1180  val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime)
1181  val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime)
1182  val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime)
1183  val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime)
1184
1185  def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = {
1186    cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _)
1187  }
1188
1189  for (fuType <- FuType.functionNameMap.keys) {
1190    val fuName = FuType.functionNameMap(fuType)
1191    val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U)
1192    XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset)
1193    XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType)))
1194    XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency)))
1195    XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency)))
1196    XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency)))
1197    XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency)))
1198    XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency)))
1199    XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency)))
1200    XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency)))
1201  }
1202  XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt)
1203
1204  // top-down info
1205  io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid
1206  io.debugTopDown.toCore.robHeadVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits
1207  io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid
1208  io.debugTopDown.toCore.robHeadPaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits
1209  io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt)
1210  io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value)
1211  io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value)
1212  io.debugTopDown.robHeadLqIdx.bits := debug_microOp(deqPtr.value).lqIdx
1213
1214  // rolling
1215  io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt)
1216
1217  /**
1218   * DataBase info:
1219   * log trigger is at writeback valid
1220   * */
1221
1222  /**
1223   * @todo add InstInfoEntry back
1224   * @author Maxpicca-Li
1225   */
1226
1227  //difftest signals
1228  val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value
1229
1230  val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1231  val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1232
1233  for (i <- 0 until CommitWidth) {
1234    val idx = deqPtrVec(i).value
1235    wdata(i) := debug_exuData(idx)
1236    wpc(i) := SignExt(commitDebugUop(i).pc, XLEN)
1237  }
1238
1239  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1240    // These are the structures used by difftest only and should be optimized after synthesis.
1241    val dt_eliminatedMove = Mem(RobSize, Bool())
1242    val dt_isRVC = Mem(RobSize, Bool())
1243    val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle))
1244    for (i <- 0 until RenameWidth) {
1245      when(canEnqueue(i)) {
1246        dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove
1247        dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC
1248      }
1249    }
1250    for (wb <- exuWBs) {
1251      when(wb.valid) {
1252        val wbIdx = wb.bits.robIdx.value
1253        dt_exuDebug(wbIdx) := wb.bits.debug
1254      }
1255    }
1256    // Always instantiate basic difftest modules.
1257    for (i <- 0 until CommitWidth) {
1258      val uop = commitDebugUop(i)
1259      val commitInfo = io.commits.info(i)
1260      val ptr = deqPtrVec(i).value
1261      val exuOut = dt_exuDebug(ptr)
1262      val eliminatedMove = dt_eliminatedMove(ptr)
1263      val isRVC = dt_isRVC(ptr)
1264
1265      val difftest = DifftestModule(new DiffInstrCommit(MaxPhyPregs), delay = 3, dontCare = true)
1266      val dt_skip = Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt)
1267      difftest.coreid := io.hartId
1268      difftest.index := i.U
1269      difftest.valid := io.commits.commitValid(i) && io.commits.isCommit
1270      difftest.skip := dt_skip
1271      difftest.isRVC := isRVC
1272      difftest.rfwen := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.debug_ldest.get =/= 0.U
1273      difftest.fpwen := io.commits.commitValid(i) && uop.fpWen
1274      difftest.wpdest := commitInfo.debug_pdest.get
1275      difftest.wdest := commitInfo.debug_ldest.get
1276      difftest.nFused := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U
1277      when(difftest.valid) {
1278        assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U)
1279      }
1280      if (env.EnableDifftest) {
1281        val uop = commitDebugUop(i)
1282        difftest.pc := SignExt(uop.pc, XLEN)
1283        difftest.instr := uop.instr
1284        difftest.robIdx := ZeroExt(ptr, 10)
1285        difftest.lqIdx := ZeroExt(uop.lqIdx.value, 7)
1286        difftest.sqIdx := ZeroExt(uop.sqIdx.value, 7)
1287        difftest.isLoad := io.commits.info(i).commitType === CommitType.LOAD
1288        difftest.isStore := io.commits.info(i).commitType === CommitType.STORE
1289        // Check LoadEvent only when isAmo or isLoad and skip MMIO
1290        val difftestLoadEvent = DifftestModule(new DiffLoadEvent, delay = 3)
1291        difftestLoadEvent.coreid := io.hartId
1292        difftestLoadEvent.index := i.U
1293        val loadCheck = (FuType.isAMO(uop.fuType) || FuType.isLoad(uop.fuType)) && !dt_skip
1294        difftestLoadEvent.valid    := io.commits.commitValid(i) && io.commits.isCommit && loadCheck
1295        difftestLoadEvent.paddr    := exuOut.paddr
1296        difftestLoadEvent.opType   := uop.fuOpType
1297        difftestLoadEvent.isAtomic := FuType.isAMO(uop.fuType)
1298        difftestLoadEvent.isLoad   := FuType.isLoad(uop.fuType)
1299      }
1300    }
1301  }
1302
1303  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1304    val dt_isXSTrap = Mem(RobSize, Bool())
1305    for (i <- 0 until RenameWidth) {
1306      when(canEnqueue(i)) {
1307        dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap
1308      }
1309    }
1310    val trapVec = io.commits.commitValid.zip(deqPtrVec).map { case (v, d) =>
1311      io.commits.isCommit && v && dt_isXSTrap(d.value)
1312    }
1313    val hitTrap = trapVec.reduce(_ || _)
1314    val difftest = DifftestModule(new DiffTrapEvent, dontCare = true)
1315    difftest.coreid := io.hartId
1316    difftest.hasTrap := hitTrap
1317    difftest.cycleCnt := timer
1318    difftest.instrCnt := instrCnt
1319    difftest.hasWFI := hasWFI
1320
1321    if (env.EnableDifftest) {
1322      val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1))
1323      val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 -> x._1)), XLEN)
1324      difftest.code := trapCode
1325      difftest.pc := trapPC
1326    }
1327  }
1328
1329  val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(robEntries.map(_.valid).drop(i * 32).take(32))))
1330  val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _))
1331  val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m })
1332  val commitLoadVec = VecInit(commitLoadValid)
1333  val commitBranchVec = VecInit(commitBranchValid)
1334  val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w })
1335  val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t })
1336  val perfEvents = Seq(
1337    ("rob_interrupt_num      ", io.flushOut.valid && intrEnable),
1338    ("rob_exception_num      ", io.flushOut.valid && exceptionEnable),
1339    ("rob_flush_pipe_num     ", io.flushOut.valid && isFlushPipe),
1340    ("rob_replay_inst_num    ", io.flushOut.valid && isFlushPipe && deqHasReplayInst),
1341    ("rob_commitUop          ", ifCommit(commitCnt)),
1342    ("rob_commitInstr        ", ifCommitReg(trueCommitCnt)),
1343    ("rob_commitInstrMove    ", ifCommitReg(PopCount(RegNext(commitMoveVec)))),
1344    ("rob_commitInstrFused   ", ifCommitReg(fuseCommitCnt)),
1345    ("rob_commitInstrLoad    ", ifCommitReg(PopCount(RegNext(commitLoadVec)))),
1346    ("rob_commitInstrBranch  ", ifCommitReg(PopCount(RegNext(commitBranchVec)))),
1347    ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec)))),
1348    ("rob_commitInstrStore   ", ifCommitReg(PopCount(RegNext(commitStoreVec)))),
1349    ("rob_walkInstr          ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)),
1350    ("rob_walkCycle          ", (state === s_walk)),
1351    ("rob_1_4_valid          ", validEntries <= (RobSize / 4).U),
1352    ("rob_2_4_valid          ", validEntries > (RobSize / 4).U && validEntries <= (RobSize / 2).U),
1353    ("rob_3_4_valid          ", validEntries > (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U),
1354    ("rob_4_4_valid          ", validEntries > (RobSize * 3 / 4).U),
1355  )
1356  generatePerfEvent()
1357
1358  // dontTouch for debug
1359  if (backendParams.debugEn) {
1360    dontTouch(enqPtrVec)
1361    dontTouch(deqPtrVec)
1362    dontTouch(robEntries)
1363    dontTouch(robDeqGroup)
1364    dontTouch(robBanks)
1365    dontTouch(robBanksRaddrThisLine)
1366    dontTouch(robBanksRaddrNextLine)
1367    dontTouch(robBanksRdataThisLine)
1368    dontTouch(robBanksRdataNextLine)
1369    dontTouch(robBanksRdataThisLineUpdate)
1370    dontTouch(robBanksRdataNextLineUpdate)
1371    dontTouch(commit_wDeqGroup)
1372    dontTouch(commit_vDeqGroup)
1373    dontTouch(commitSizeSumSeq)
1374    dontTouch(walkSizeSumSeq)
1375    dontTouch(commitSizeSumCond)
1376    dontTouch(walkSizeSumCond)
1377    dontTouch(commitSizeSum)
1378    dontTouch(walkSizeSum)
1379    dontTouch(realDestSizeSeq)
1380    dontTouch(walkDestSizeSeq)
1381    dontTouch(io.commits)
1382    dontTouch(commitIsVTypeVec)
1383    dontTouch(walkIsVTypeVec)
1384    dontTouch(commitValidThisLine)
1385    dontTouch(commitReadAddr_next)
1386    dontTouch(donotNeedWalk)
1387    dontTouch(walkPtrVec_next)
1388    dontTouch(walkPtrVec)
1389    dontTouch(deqPtrVec_next)
1390    dontTouch(deqPtrVecForWalk)
1391    dontTouch(snapPtrReadBank)
1392    dontTouch(snapPtrVecForWalk)
1393    dontTouch(shouldWalkVec)
1394    dontTouch(walkFinished)
1395    dontTouch(changeBankAddrToDeqPtr)
1396  }
1397  if (env.EnableDifftest) {
1398    io.commits.info.map(info => dontTouch(info.debug_pc.get))
1399  }
1400}
1401