xref: /XiangShan/src/main/scala/xiangshan/backend/rob/Rob.scala (revision ac4d321d18df4775b9ddda83e77cf526a0b1ca67)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.backend.rob
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import difftest._
23import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
24import utility._
25import utils._
26import xiangshan._
27import xiangshan.backend.BackendParams
28import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
29import xiangshan.backend.fu.{FuConfig, FuType}
30import xiangshan.frontend.FtqPtr
31import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr}
32import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
33import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo}
34import xiangshan.backend.fu.vector.Bundles.VType
35import xiangshan.backend.rename.SnapshotGenerator
36
37
38class RobPtr(entries: Int) extends CircularQueuePtr[RobPtr](
39  entries
40) with HasCircularQueuePtrHelper {
41
42  def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).RobSize)
43
44  def needFlush(redirect: Valid[Redirect]): Bool = {
45    val flushItself = redirect.bits.flushItself() && this === redirect.bits.robIdx
46    redirect.valid && (flushItself || isAfter(this, redirect.bits.robIdx))
47  }
48
49  def needFlush(redirect: Seq[Valid[Redirect]]): Bool = VecInit(redirect.map(needFlush)).asUInt.orR
50}
51
52object RobPtr {
53  def apply(f: Bool, v: UInt)(implicit p: Parameters): RobPtr = {
54    val ptr = Wire(new RobPtr)
55    ptr.flag := f
56    ptr.value := v
57    ptr
58  }
59}
60
61class RobCSRIO(implicit p: Parameters) extends XSBundle {
62  val intrBitSet = Input(Bool())
63  val trapTarget = Input(UInt(VAddrBits.W))
64  val isXRet     = Input(Bool())
65  val wfiEvent   = Input(Bool())
66
67  val fflags     = Output(Valid(UInt(5.W)))
68  val vxsat      = Output(Valid(Bool()))
69  val vstart     = Output(Valid(UInt(XLEN.W)))
70  val dirty_fs   = Output(Bool())
71  val perfinfo   = new Bundle {
72    val retiredInstr = Output(UInt(3.W))
73  }
74
75  val vcsrFlag   = Output(Bool())
76}
77
78class RobLsqIO(implicit p: Parameters) extends XSBundle {
79  val lcommit = Output(UInt(log2Up(CommitWidth + 1).W))
80  val scommit = Output(UInt(log2Up(CommitWidth + 1).W))
81  val pendingld = Output(Bool())
82  val pendingst = Output(Bool())
83  val commit = Output(Bool())
84  val pendingPtr = Output(new RobPtr)
85  val pendingPtrNext = Output(new RobPtr)
86
87  val mmio = Input(Vec(LoadPipelineWidth, Bool()))
88  // Todo: what's this?
89  val uop = Input(Vec(LoadPipelineWidth, new DynInst))
90}
91
92class RobEnqIO(implicit p: Parameters) extends XSBundle {
93  val canAccept = Output(Bool())
94  val isEmpty = Output(Bool())
95  // valid vector, for robIdx gen and walk
96  val needAlloc = Vec(RenameWidth, Input(Bool()))
97  val req = Vec(RenameWidth, Flipped(ValidIO(new DynInst)))
98  val resp = Vec(RenameWidth, Output(new RobPtr))
99}
100
101class RobCoreTopDownIO(implicit p: Parameters) extends XSBundle {
102  val robHeadVaddr = Valid(UInt(VAddrBits.W))
103  val robHeadPaddr = Valid(UInt(PAddrBits.W))
104}
105
106class RobDispatchTopDownIO extends Bundle {
107  val robTrueCommit = Output(UInt(64.W))
108  val robHeadLsIssue = Output(Bool())
109}
110
111class RobDebugRollingIO extends Bundle {
112  val robTrueCommit = Output(UInt(64.W))
113}
114
115class RobDispatchData(implicit p: Parameters) extends RobCommitInfo {}
116
117class RobDeqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
118  val io = IO(new Bundle {
119    // for commits/flush
120    val state = Input(UInt(2.W))
121    val deq_v = Vec(CommitWidth, Input(Bool()))
122    val deq_w = Vec(CommitWidth, Input(Bool()))
123    val exception_state = Flipped(ValidIO(new RobExceptionInfo))
124    // for flush: when exception occurs, reset deqPtrs to range(0, CommitWidth)
125    val intrBitSetReg = Input(Bool())
126    val hasNoSpecExec = Input(Bool())
127    val interrupt_safe = Input(Bool())
128    val blockCommit = Input(Bool())
129    // output: the CommitWidth deqPtr
130    val out = Vec(CommitWidth, Output(new RobPtr))
131    val next_out = Vec(CommitWidth, Output(new RobPtr))
132    val commitCnt = Output(UInt(log2Up(CommitWidth+1).W))
133    val canCommitPriorityCond = Output(Vec(CommitWidth+1,Bool()))
134    val commitEn = Output(Bool())
135  })
136
137  val deqPtrVec = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new RobPtr))))
138
139  // for exceptions (flushPipe included) and interrupts:
140  // only consider the first instruction
141  val intrEnable = io.intrBitSetReg && !io.hasNoSpecExec && io.interrupt_safe
142  val exceptionEnable = io.deq_w(0) && io.exception_state.valid && io.exception_state.bits.not_commit && io.exception_state.bits.robIdx === deqPtrVec(0)
143  val redirectOutValid = io.state === 0.U && io.deq_v(0) && (intrEnable || exceptionEnable)
144
145  // for normal commits: only to consider when there're no exceptions
146  // we don't need to consider whether the first instruction has exceptions since it wil trigger exceptions.
147  val commit_exception = io.exception_state.valid && !isAfter(io.exception_state.bits.robIdx, deqPtrVec.last)
148  val canCommit = VecInit((0 until CommitWidth).map(i => io.deq_v(i) && io.deq_w(i)))
149  val normalCommitCnt = PriorityEncoder(canCommit.map(c => !c) :+ true.B)
150  // when io.intrBitSetReg or there're possible exceptions in these instructions,
151  // only one instruction is allowed to commit
152  val allowOnlyOne = commit_exception || io.intrBitSetReg
153  val commitCnt = Mux(allowOnlyOne, canCommit(0), normalCommitCnt)
154  val allowOnlyOneCond = Wire(chiselTypeOf(io.canCommitPriorityCond))
155  allowOnlyOneCond.zipWithIndex.map{ case (value,i) => value := (if (i==0) !canCommit(0) else true.B)}
156  io.canCommitPriorityCond := Mux(allowOnlyOne, allowOnlyOneCond, VecInit(canCommit.map(c => !c) :+ true.B))
157
158  val commitDeqPtrAll = VecInit((0 until 2*CommitWidth).map{case i => deqPtrVec(0) + i.U})
159  val commitDeqPtrVec = Wire(chiselTypeOf(deqPtrVec))
160  for (i <- 0 until CommitWidth){
161    commitDeqPtrVec(i) := PriorityMuxDefault(io.canCommitPriorityCond.zip(commitDeqPtrAll.drop(i).take(CommitWidth+1)), deqPtrVec(i))
162  }
163  val deqPtrVec_next = Mux(io.state === 0.U && !redirectOutValid && !io.blockCommit, commitDeqPtrVec, deqPtrVec)
164
165  deqPtrVec := deqPtrVec_next
166
167  io.next_out := deqPtrVec_next
168  io.out      := deqPtrVec
169  io.commitCnt := commitCnt
170  io.commitEn := io.state === 0.U && !redirectOutValid && !io.blockCommit
171
172  when (io.state === 0.U) {
173    XSInfo(io.state === 0.U && commitCnt > 0.U, "retired %d insts\n", commitCnt)
174  }
175
176}
177
178class RobEnqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
179  val io = IO(new Bundle {
180    // for input redirect
181    val redirect = Input(Valid(new Redirect))
182    // for enqueue
183    val allowEnqueue = Input(Bool())
184    val hasBlockBackward = Input(Bool())
185    val enq = Vec(RenameWidth, Input(Bool()))
186    val out = Output(Vec(RenameWidth, new RobPtr))
187  })
188
189  val enqPtrVec = RegInit(VecInit.tabulate(RenameWidth)(_.U.asTypeOf(new RobPtr)))
190
191  // enqueue
192  val canAccept = io.allowEnqueue && !io.hasBlockBackward
193  val dispatchNum = Mux(canAccept, PopCount(io.enq), 0.U)
194
195  for ((ptr, i) <- enqPtrVec.zipWithIndex) {
196    when(io.redirect.valid) {
197      ptr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U)
198    }.otherwise {
199      ptr := ptr + dispatchNum
200    }
201  }
202
203  io.out := enqPtrVec
204
205}
206
207class RobExceptionInfo(implicit p: Parameters) extends XSBundle {
208  // val valid = Bool()
209  val robIdx = new RobPtr
210  val ftqPtr = new FtqPtr()
211  val ftqOffset = UInt(log2Up(PredictWidth).W)
212  val exceptionVec = ExceptionVec()
213  val flushPipe = Bool()
214  val isVset = Bool()
215  val replayInst = Bool() // redirect to that inst itself
216  val singleStep = Bool() // TODO add frontend hit beneath
217  val crossPageIPFFix = Bool()
218  val trigger = new TriggerCf
219  val vstartEn = Bool()
220  val vstart = UInt(XLEN.W)
221
222  def has_exception = exceptionVec.asUInt.orR || flushPipe || singleStep || replayInst || trigger.canFire
223  def not_commit = exceptionVec.asUInt.orR || singleStep || replayInst || trigger.canFire
224  // only exceptions are allowed to writeback when enqueue
225  def can_writeback = exceptionVec.asUInt.orR || singleStep || trigger.canFire
226}
227
228class ExceptionGen(params: BackendParams)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
229  val io = IO(new Bundle {
230    val redirect = Input(Valid(new Redirect))
231    val flush = Input(Bool())
232    val enq = Vec(RenameWidth, Flipped(ValidIO(new RobExceptionInfo)))
233    // csr + load + store + varith + vload + vstore
234    val wb = Vec(params.numException, Flipped(ValidIO(new RobExceptionInfo)))
235    val out = ValidIO(new RobExceptionInfo)
236    val state = ValidIO(new RobExceptionInfo)
237  })
238
239  val wbExuParams = params.allExuParams.filter(_.exceptionOut.nonEmpty)
240
241  def getOldest(valid: Seq[Bool], bits: Seq[RobExceptionInfo]): RobExceptionInfo = {
242    def getOldest_recursion(valid: Seq[Bool], bits: Seq[RobExceptionInfo]): (Seq[Bool], Seq[RobExceptionInfo]) = {
243      assert(valid.length == bits.length)
244      if (valid.length == 1) {
245        (valid, bits)
246      } else if (valid.length == 2) {
247        val res = Seq.fill(2)(Wire(ValidIO(chiselTypeOf(bits(0)))))
248        for (i <- res.indices) {
249          res(i).valid := valid(i)
250          res(i).bits := bits(i)
251        }
252        val oldest = Mux(!valid(1) || valid(0) && isAfter(bits(1).robIdx, bits(0).robIdx), res(0), res(1))
253        (Seq(oldest.valid), Seq(oldest.bits))
254      } else {
255        val left = getOldest_recursion(valid.take(valid.length / 2), bits.take(valid.length / 2))
256        val right = getOldest_recursion(valid.drop(valid.length / 2), bits.drop(valid.length/ 2))
257        getOldest_recursion(left._1 ++ right._1, left._2 ++ right._2)
258      }
259    }
260    getOldest_recursion(valid, bits)._2.head
261  }
262
263
264  val currentValid = RegInit(false.B)
265  val current = Reg(new RobExceptionInfo)
266
267  // orR the exceptionVec
268  val lastCycleFlush = RegNext(io.flush)
269  val in_enq_valid = VecInit(io.enq.map(e => e.valid && e.bits.has_exception && !lastCycleFlush))
270
271  // s0: compare wb in 6 groups
272  val csr_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(t => t.isCsr).nonEmpty).map(_._1)
273  val load_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.fuType == FuType.ldu).nonEmpty).map(_._1)
274  val store_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(t => t.isSta || t.fuType == FuType.mou).nonEmpty).map(_._1)
275  val varith_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.isVecArith).nonEmpty).map(_._1)
276  val vload_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.fuType == FuType.vldu).nonEmpty).map(_._1)
277  val vstore_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.fuType == FuType.vstu).nonEmpty).map(_._1)
278
279  val writebacks = Seq(csr_wb, load_wb, store_wb, varith_wb, vload_wb, vstore_wb)
280  val in_wb_valids = writebacks.map(_.map(w => w.valid && w.bits.has_exception && !lastCycleFlush))
281  val wb_valid = in_wb_valids.zip(writebacks).map { case (valid, wb) =>
282    valid.zip(wb.map(_.bits)).map { case (v, bits) => v && !(bits.robIdx.needFlush(io.redirect) || io.flush) }.reduce(_ || _)
283  }
284  val wb_bits = in_wb_valids.zip(writebacks).map { case (valid, wb) => getOldest(valid, wb.map(_.bits))}
285
286  val s0_out_valid = wb_valid.map(x => RegNext(x))
287  val s0_out_bits = wb_bits.zip(wb_valid).map{ case(b, v) => RegEnable(b, v)}
288
289  // s1: compare last six and current flush
290  val s1_valid = VecInit(s0_out_valid.zip(s0_out_bits).map{ case (v, b) => v && !(b.robIdx.needFlush(io.redirect) || io.flush) })
291  val s1_out_bits = RegEnable(getOldest(s0_out_valid, s0_out_bits), s1_valid.asUInt.orR)
292  val s1_out_valid = RegNext(s1_valid.asUInt.orR)
293
294  val enq_valid = RegNext(in_enq_valid.asUInt.orR && !io.redirect.valid && !io.flush)
295  val enq_bits = RegEnable(ParallelPriorityMux(in_enq_valid, io.enq.map(_.bits)), in_enq_valid.asUInt.orR && !io.redirect.valid && !io.flush)
296
297  // s2: compare the input exception with the current one
298  // priorities:
299  // (1) system reset
300  // (2) current is valid: flush, remain, merge, update
301  // (3) current is not valid: s1 or enq
302  val current_flush = current.robIdx.needFlush(io.redirect) || io.flush
303  val s1_flush = s1_out_bits.robIdx.needFlush(io.redirect) || io.flush
304  when (currentValid) {
305    when (current_flush) {
306      currentValid := Mux(s1_flush, false.B, s1_out_valid)
307    }
308    when (s1_out_valid && !s1_flush) {
309      when (isAfter(current.robIdx, s1_out_bits.robIdx)) {
310        current := s1_out_bits
311      }.elsewhen (current.robIdx === s1_out_bits.robIdx) {
312        current.exceptionVec := (s1_out_bits.exceptionVec.asUInt | current.exceptionVec.asUInt).asTypeOf(ExceptionVec())
313        current.flushPipe := s1_out_bits.flushPipe || current.flushPipe
314        current.replayInst := s1_out_bits.replayInst || current.replayInst
315        current.singleStep := s1_out_bits.singleStep || current.singleStep
316        current.trigger := (s1_out_bits.trigger.asUInt | current.trigger.asUInt).asTypeOf(new TriggerCf)
317      }
318    }
319  }.elsewhen (s1_out_valid && !s1_flush) {
320    currentValid := true.B
321    current := s1_out_bits
322  }.elsewhen (enq_valid && !(io.redirect.valid || io.flush)) {
323    currentValid := true.B
324    current := enq_bits
325  }
326
327  io.out.valid   := s1_out_valid || enq_valid && enq_bits.can_writeback
328  io.out.bits    := Mux(s1_out_valid, s1_out_bits, enq_bits)
329  io.state.valid := currentValid
330  io.state.bits  := current
331
332}
333
334class RobFlushInfo(implicit p: Parameters) extends XSBundle {
335  val ftqIdx = new FtqPtr
336  val robIdx = new RobPtr
337  val ftqOffset = UInt(log2Up(PredictWidth).W)
338  val replayInst = Bool()
339}
340
341class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter {
342  override def shouldBeInlined: Boolean = false
343
344  lazy val module = new RobImp(this)(p, params)
345}
346
347class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper)
348  with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents {
349
350  private val LduCnt = params.LduCnt
351  private val StaCnt = params.StaCnt
352  private val HyuCnt = params.HyuCnt
353
354  val io = IO(new Bundle() {
355    val hartId = Input(UInt(hartIdLen.W))
356    val redirect = Input(Valid(new Redirect))
357    val enq = new RobEnqIO
358    val flushOut = ValidIO(new Redirect)
359    val exception = ValidIO(new ExceptionInfo)
360    // exu + brq
361    val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
362    val writebackNums = Flipped(Vec(writeback.size-params.StdCnt, ValidIO(UInt(writeback.size.U.getWidth.W))))
363    val commits = Output(new RobCommitIO)
364    val rabCommits = Output(new RabCommitIO)
365    val diffCommits = if (backendParams.debugEn) Some(Output(new DiffCommitIO)) else None
366    val isVsetFlushPipe = Output(Bool())
367    val lsq = new RobLsqIO
368    val robDeqPtr = Output(new RobPtr)
369    val csr = new RobCSRIO
370    val snpt = Input(new SnapshotPort)
371    val robFull = Output(Bool())
372    val headNotReady = Output(Bool())
373    val cpu_halt = Output(Bool())
374    val wfi_enable = Input(Bool())
375    val toDecode = new Bundle {
376      val isResumeVType = Output(Bool())
377      val commitVType = ValidIO(VType())
378      val walkVType = ValidIO(VType())
379    }
380    val readGPAMemAddr = ValidIO(new Bundle {
381      val ftqPtr = new FtqPtr()
382      val ftqOffset = UInt(log2Up(PredictWidth).W)
383    })
384    val readGPAMemData = Input(UInt(GPAddrBits.W))
385
386    val debug_ls = Flipped(new DebugLSIO)
387    val debugRobHead = Output(new DynInst)
388    val debugEnqLsq = Input(new LsqEnqIO)
389    val debugHeadLsIssue = Input(Bool())
390    val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo))
391    val debugTopDown = new Bundle {
392      val toCore = new RobCoreTopDownIO
393      val toDispatch = new RobDispatchTopDownIO
394      val robHeadLqIdx = Valid(new LqPtr)
395    }
396    val debugRolling = new RobDebugRollingIO
397  })
398
399  val exuWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(!_.bits.params.hasStdFu).toSeq
400  val stdWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(_.bits.params.hasStdFu).toSeq
401  val fflagsWBs = io.writeback.filter(x => x.bits.fflags.nonEmpty)
402  val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty)
403  val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty)
404  val vxsatWBs = io.writeback.filter(x => x.bits.vxsat.nonEmpty)
405
406  val numExuWbPorts = exuWBs.length
407  val numStdWbPorts = stdWBs.length
408
409
410  println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth")
411//  println(s"exuPorts: ${exuWbs.map(_._1.map(_.name))}")
412//  println(s"stdPorts: ${stdWbs.map(_._1.map(_.name))}")
413//  println(s"fflagsPorts: ${fflagsWBs.map(_._1.map(_.name))}")
414
415
416  // instvalid field
417  val valid = RegInit(VecInit(Seq.fill(RobSize)(false.B)))
418  // writeback status
419
420  val stdWritebacked = Reg(Vec(RobSize, Bool()))
421  val commitTrigger = Mem(RobSize, Bool())
422  val uopNumVec          = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W))))
423  val realDestSize       = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W))))
424  val fflagsDataModule   = RegInit(VecInit(Seq.fill(RobSize)(0.U(5.W))))
425  val vxsatDataModule    = RegInit(VecInit(Seq.fill(RobSize)(false.B)))
426  val vls                = RegInit(VecInit(Seq.fill(RobSize)(false.B)))
427
428  val stdWritebackedDeqGroup   = Reg(Vec(CommitWidth, Bool()))
429  val uopNumVecDeqGroup        = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W))))
430  val realDestSizeDeqGroup     = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W))))
431  val fflagsDataModuleDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(5.W))))
432  val vxsatDataModuleDeqGroup  = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
433  def isWritebacked(ptr: UInt): Bool = {
434    !uopNumVec(ptr).orR && stdWritebacked(ptr)
435  }
436
437  def isUopWritebacked(ptr: UInt): Bool = {
438    !uopNumVec(ptr).orR
439  }
440
441  val mmio = RegInit(VecInit(Seq.fill(RobSize)(false.B)))
442
443  // data for redirect, exception, etc.
444  val flagBkup = Mem(RobSize, Bool())
445  // some instructions are not allowed to trigger interrupts
446  // They have side effects on the states of the processor before they write back
447  val interrupt_safe = RegInit(VecInit(Seq.fill(RobSize)(true.B)))
448  val interrupt_safeDeqGroup = Reg(Vec(CommitWidth, Bool()))
449
450  // data for debug
451  // Warn: debug_* prefix should not exist in generated verilog.
452  val debug_microOp = DebugMem(RobSize, new DynInst)
453  val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W)))//for debug
454  val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle))//for debug
455  val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init)))
456  val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init)))
457  val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B))
458  val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B))
459
460  // pointers
461  // For enqueue ptr, we don't duplicate it since only enqueue needs it.
462  val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr))
463  val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr))
464
465  if(backendParams.debugEn) {
466    dontTouch(enqPtrVec)
467    dontTouch(deqPtrVec)
468  }
469
470  val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr))
471  val lastWalkPtr = Reg(new RobPtr)
472  val allowEnqueue = RegInit(true.B)
473
474  val enqPtr = enqPtrVec.head
475  val deqPtr = deqPtrVec(0)
476  val walkPtr = walkPtrVec(0)
477
478  val isEmpty = enqPtr === deqPtr
479  val isReplaying = io.redirect.valid && RedirectLevel.flushItself(io.redirect.bits.level)
480
481  val snptEnq = io.enq.canAccept && io.enq.req.head.valid && io.enq.req.head.bits.snapshot
482  val snapshots = SnapshotGenerator(enqPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec)
483  val debug_lsIssue = WireDefault(debug_lsIssued)
484  debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue
485
486  /**
487    * states of Rob
488    */
489  val s_idle :: s_walk :: Nil = Enum(2)
490  val state = RegInit(s_idle)
491
492  /**
493    * Data Modules
494    *
495    * CommitDataModule: data from dispatch
496    * (1) read: commits/walk/exception
497    * (2) write: enqueue
498    *
499    * WritebackData: data from writeback
500    * (1) read: commits/walk/exception
501    * (2) write: write back from exe units
502    */
503  private def hasRen: Boolean = true
504  val dispatchData = Module(new SyncDataModuleTemplate(new RobDispatchData, RobSize, CommitWidth, RenameWidth, hasRen = hasRen))
505  val dispatchDataRead = dispatchData.io.rdata
506
507  val exceptionGen = Module(new ExceptionGen(params))
508  val exceptionDataRead = exceptionGen.io.state
509  val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W)))
510  val vxsatDataRead = Wire(Vec(CommitWidth, Bool()))
511
512  io.robDeqPtr := deqPtr
513  io.debugRobHead := debug_microOp(deqPtr.value)
514
515  val rab = Module(new RenameBuffer(RabSize))
516  val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize))
517
518  /**
519   * connection of [[rab]]
520   */
521  rab.io.redirect.valid := io.redirect.valid
522
523  rab.io.req.zip(io.enq.req).map { case (dest, src) =>
524    dest.bits := src.bits
525    dest.valid := src.valid && io.enq.canAccept
526  }
527
528  val commitDestSizeSeq = (0 until CommitWidth).map(i => realDestSize(deqPtrVec(i).value))
529  val walkDestSizeSeq = (0 until CommitWidth).map(i => realDestSize(walkPtrVec(i).value))
530
531  val walkDestSizeDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W))))
532  val commitSizeSumSeq = (0 until CommitWidth).map(i => realDestSizeDeqGroup.take(i+1).reduce(_ +& _))
533  val walkSizeSumSeq = (0 until CommitWidth).map(i => walkDestSizeDeqGroup.take(i+1).reduce(_ +& _))
534  val commitSizeSumCond = io.commits.commitValid.map(_ && io.commits.isCommit)
535  val walkSizeSumCond = io.commits.walkValid.map(_ && io.commits.isWalk)
536  val commitSizeSum = PriorityMuxDefault(commitSizeSumCond.reverse.zip(commitSizeSumSeq.reverse), 0.U)
537  val walkSizeSum = PriorityMuxDefault(walkSizeSumCond.reverse.zip(walkSizeSumSeq.reverse), 0.U)
538
539  rab.io.fromRob.commitSize := commitSizeSum
540  rab.io.fromRob.walkSize := walkSizeSum
541  rab.io.snpt := io.snpt
542  rab.io.snpt.snptEnq := snptEnq
543
544  io.rabCommits := rab.io.commits
545  io.diffCommits.foreach(_ := rab.io.diffCommits.get)
546
547  /**
548   * connection of [[vtypeBuffer]]
549   */
550
551  vtypeBuffer.io.redirect.valid := io.redirect.valid
552
553  vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) =>
554    sink.valid := source.valid && io.enq.canAccept
555    sink.bits := source.bits
556  }
557
558  private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset })
559  private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(io.commits.info).map { case (valid, info) => io.commits.isWalk && valid && info.isVset })
560  vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec)
561  vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec)
562  vtypeBuffer.io.snpt := io.snpt
563  vtypeBuffer.io.snpt.snptEnq := snptEnq
564  io.toDecode.isResumeVType := vtypeBuffer.io.toDecode.isResumeVType
565  io.toDecode.commitVType := vtypeBuffer.io.toDecode.commitVType
566  io.toDecode.walkVType := vtypeBuffer.io.toDecode.walkVType
567
568  /**
569    * Enqueue (from dispatch)
570    */
571  // special cases
572  val hasBlockBackward = RegInit(false.B)
573  val hasWaitForward = RegInit(false.B)
574  val doingSvinval = RegInit(false.B)
575  // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B
576  // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty.
577  when (isEmpty) { hasBlockBackward:= false.B }
578  // When any instruction commits, hasNoSpecExec should be set to false.B
579  when (io.commits.hasWalkInstr || io.commits.hasCommitInstr) { hasWaitForward:= false.B }
580
581  // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing.
582  // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle.
583  // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts.
584  val hasWFI = RegInit(false.B)
585  io.cpu_halt := hasWFI
586  // WFI Timeout: 2^20 = 1M cycles
587  val wfi_cycles = RegInit(0.U(20.W))
588  when (hasWFI) {
589    wfi_cycles := wfi_cycles + 1.U
590  }.elsewhen (!hasWFI && RegNext(hasWFI)) {
591    wfi_cycles := 0.U
592  }
593  val wfi_timeout = wfi_cycles.andR
594  when (RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) {
595    hasWFI := false.B
596  }
597
598  val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop)))))
599  io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq
600  io.enq.resp      := allocatePtrVec
601  val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept))
602  val timer = GTimer()
603  for (i <- 0 until RenameWidth) {
604    // we don't check whether io.redirect is valid here since redirect has higher priority
605    when (canEnqueue(i)) {
606      val enqUop = io.enq.req(i).bits
607      val enqIndex = allocatePtrVec(i).value
608      // store uop in data module and debug_microOp Vec
609      debug_microOp(enqIndex) := enqUop
610      debug_microOp(enqIndex).debugInfo.dispatchTime := timer
611      debug_microOp(enqIndex).debugInfo.enqRsTime := timer
612      debug_microOp(enqIndex).debugInfo.selectTime := timer
613      debug_microOp(enqIndex).debugInfo.issueTime := timer
614      debug_microOp(enqIndex).debugInfo.writebackTime := timer
615      debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer
616      debug_microOp(enqIndex).debugInfo.tlbRespTime := timer
617      debug_lsInfo(enqIndex) := DebugLsInfo.init
618      debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init
619      debug_lqIdxValid(enqIndex) := false.B
620      debug_lsIssued(enqIndex) := false.B
621
622      when (enqUop.blockBackward) {
623        hasBlockBackward := true.B
624      }
625      when (enqUop.waitForward) {
626        hasWaitForward := true.B
627      }
628      val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire
629      val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR
630      // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process
631      when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe))
632      {
633        doingSvinval := true.B
634      }
635      // the end instruction of Svinval enqs so clear doingSvinval
636      when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe))
637      {
638        doingSvinval := false.B
639      }
640      // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear
641      assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe)))
642      when (enqUop.isWFI && !enqHasException && !enqHasTriggerCanFire) {
643        hasWFI := true.B
644      }
645
646      mmio(enqIndex) := false.B
647
648      vls(enqIndex) := enqUop.vlsInstr
649    }
650  }
651  val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U)
652  io.enq.isEmpty   := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR)
653
654  when (!io.wfi_enable) {
655    hasWFI := false.B
656  }
657  // sel vsetvl's flush position
658  val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3)
659  val vsetvlState = RegInit(vs_idle)
660
661  val firstVInstrFtqPtr    = RegInit(0.U.asTypeOf(new FtqPtr))
662  val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W)))
663  val firstVInstrRobIdx    = RegInit(0.U.asTypeOf(new RobPtr))
664
665  val enq0            = io.enq.req(0)
666  val enq0IsVset      = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0)
667  val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe
668  val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map{case (req, fire) => FuType.isVArith(req.bits.fuType) && fire}
669  // for vs_idle
670  val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType)))
671  // for vs_waitVinstr
672  val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1)
673  val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req)
674  when(vsetvlState === vs_idle){
675    firstVInstrFtqPtr    := firstVInstrIdle.bits.ftqPtr
676    firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset
677    firstVInstrRobIdx    := firstVInstrIdle.bits.robIdx
678  }.elsewhen(vsetvlState === vs_waitVinstr){
679    when(Cat(enqIsVInstrOrVset).orR){
680      firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr
681      firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset
682      firstVInstrRobIdx := firstVInstrWait.bits.robIdx
683    }
684  }
685
686  val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR
687  when(vsetvlState === vs_idle && !io.redirect.valid){
688    when(enq0IsVsetFlush){
689      vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr)
690    }
691  }.elsewhen(vsetvlState === vs_waitVinstr){
692    when(io.redirect.valid){
693      vsetvlState := vs_idle
694    }.elsewhen(Cat(enqIsVInstrOrVset).orR){
695      vsetvlState := vs_waitFlush
696    }
697  }.elsewhen(vsetvlState === vs_waitFlush){
698    when(io.redirect.valid){
699      vsetvlState := vs_idle
700    }
701  }
702
703  // lqEnq
704  io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) =>
705    when(io.debugEnqLsq.canAccept && alloc && req.valid) {
706      debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx
707      debug_lqIdxValid(req.bits.robIdx.value) := true.B
708    }
709  }
710
711  // lsIssue
712  when(io.debugHeadLsIssue) {
713    debug_lsIssued(deqPtr.value) := true.B
714  }
715
716  /**
717    * Writeback (from execution units)
718    */
719  for (wb <- exuWBs) {
720    when (wb.valid) {
721      val wbIdx = wb.bits.robIdx.value
722      debug_exuData(wbIdx) := wb.bits.data
723      debug_exuDebug(wbIdx) := wb.bits.debug
724      debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime
725      debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime
726      debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime
727      debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime
728
729      // debug for lqidx and sqidx
730      debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr))
731      debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr))
732
733      val debug_Uop = debug_microOp(wbIdx)
734      XSInfo(true.B,
735        p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " +
736        p"data 0x${Hexadecimal(wb.bits.data)} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " +
737        p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n"
738      )
739    }
740  }
741
742  val writebackNum = PopCount(exuWBs.map(_.valid))
743  XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum)
744
745  for (i <- 0 until LoadPipelineWidth) {
746    when (RegNext(io.lsq.mmio(i))) {
747      mmio(RegEnable(io.lsq.uop(i).robIdx, io.lsq.mmio(i)).value) := true.B
748    }
749  }
750
751  /**
752    * RedirectOut: Interrupt and Exceptions
753    */
754  val deqDispatchData = dispatchDataRead(0)
755  val debug_deqUop = debug_microOp(deqPtr.value)
756
757  val intrBitSetReg = RegNext(io.csr.intrBitSet)
758  val intrEnable = intrBitSetReg && !hasWaitForward && interrupt_safeDeqGroup(0)
759  val deqHasExceptionOrFlush = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr
760  val deqHasException = deqHasExceptionOrFlush && (exceptionDataRead.bits.exceptionVec.asUInt.orR ||
761    exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.canFire)
762  val deqHasFlushPipe = deqHasExceptionOrFlush && exceptionDataRead.bits.flushPipe
763  val deqHasReplayInst = deqHasExceptionOrFlush && exceptionDataRead.bits.replayInst
764  val exceptionEnable = isWritebacked(deqPtr.value) && deqHasException
765
766  XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n")
767  XSDebug(deqHasException && exceptionDataRead.bits.trigger.getFrontendCanFire, "Debug Mode: Deq has frontend trigger exception\n")
768  XSDebug(deqHasException && exceptionDataRead.bits.trigger.getBackendCanFire, "Debug Mode: Deq has backend trigger exception\n")
769
770  val isFlushPipe = isWritebacked(deqPtr.value) && (deqHasFlushPipe || deqHasReplayInst)
771
772  val isVsetFlushPipe = isWritebacked(deqPtr.value) && deqHasFlushPipe && exceptionDataRead.bits.isVset
773//  val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush)
774  val needModifyFtqIdxOffset = false.B
775  io.isVsetFlushPipe := isVsetFlushPipe
776
777  // io.flushOut will trigger redirect at the next cycle.
778  // Block any redirect or commit at the next cycle.
779  val lastCycleFlush = RegNext(io.flushOut.valid)
780
781  io.flushOut.valid := (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable || isFlushPipe) && !lastCycleFlush
782  io.flushOut.bits := DontCare
783  io.flushOut.bits.isRVC := deqDispatchData.isRVC
784  io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr)
785  io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx)
786  io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset)
787  io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || exceptionEnable || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next"
788  io.flushOut.bits.interrupt := true.B
789  XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable)
790  XSPerfAccumulate("exception_num", io.flushOut.valid && exceptionEnable)
791  XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe)
792  XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst)
793
794  val exceptionHappen = (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable) && !lastCycleFlush
795  io.exception.valid                := RegNext(exceptionHappen)
796  io.exception.bits.pc              := RegEnable(debug_deqUop.pc, exceptionHappen)
797  io.exception.bits.gpaddr          := io.readGPAMemData
798  io.exception.bits.instr           := RegEnable(debug_deqUop.instr, exceptionHappen)
799  io.exception.bits.commitType      := RegEnable(deqDispatchData.commitType, exceptionHappen)
800  io.exception.bits.exceptionVec    := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen)
801  io.exception.bits.singleStep      := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen)
802  io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen)
803  io.exception.bits.isInterrupt     := RegEnable(intrEnable, exceptionHappen)
804  io.exception.bits.isHls           := RegEnable(deqDispatchData.isHls, exceptionHappen)
805  io.exception.bits.vls             := RegEnable(vls(deqPtr.value), exceptionHappen)
806  io.exception.bits.trigger         := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen)
807  io.csr.vstart.valid               := RegEnable(exceptionDataRead.bits.vstartEn, false.B, exceptionHappen)
808  io.csr.vstart.bits                := RegEnable(exceptionDataRead.bits.vstart, exceptionHappen)
809
810  // data will be one cycle after valid
811  io.readGPAMemAddr.valid := exceptionHappen
812  io.readGPAMemAddr.bits.ftqPtr := exceptionDataRead.bits.ftqPtr
813  io.readGPAMemAddr.bits.ftqOffset := exceptionDataRead.bits.ftqOffset
814
815  XSDebug(io.flushOut.valid,
816    p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " +
817    p"excp $exceptionEnable flushPipe $isFlushPipe " +
818    p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n")
819
820
821  /**
822    * Commits (and walk)
823    * They share the same width.
824    */
825  val shouldWalkVec = VecInit(walkPtrVec.map(_ <= lastWalkPtr))
826  val walkFinished = VecInit(walkPtrVec.map(_ >= lastWalkPtr)).asUInt.orR
827  rab.io.fromRob.walkEnd := state === s_walk && walkFinished
828  vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished
829
830  require(RenameWidth <= CommitWidth)
831
832  // wiring to csr
833  val (wflags, dirtyFs) = (0 until CommitWidth).map(i => {
834    val v = io.commits.commitValid(i)
835    val info = io.commits.info(i)
836    (v & info.wflags, v & (info.dirtyFs | fflagsDataRead(i).orR))
837  }).unzip
838  val fflags = Wire(Valid(UInt(5.W)))
839  fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR
840  fflags.bits := wflags.zip(fflagsDataRead).map({
841    case (w, f) => Mux(w, f, 0.U)
842  }).reduce(_|_)
843  val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR
844
845  val vxsat = Wire(Valid(Bool()))
846  vxsat.valid := io.commits.isCommit && vxsat.bits
847  vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map {
848    case (valid, vxsat) => valid & vxsat
849  }.reduce(_ | _)
850
851  // when mispredict branches writeback, stop commit in the next 2 cycles
852  // TODO: don't check all exu write back
853  val misPredWb = Cat(VecInit(redirectWBs.map(wb =>
854    wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid
855  ).toSeq)).orR
856  val misPredBlockCounter = Reg(UInt(3.W))
857  misPredBlockCounter := Mux(misPredWb,
858    "b111".U,
859    misPredBlockCounter >> 1.U
860  )
861  val misPredBlock = misPredBlockCounter(0)
862  val blockCommit = misPredBlock || isReplaying || lastCycleFlush || hasWFI || io.redirect.valid
863
864  io.commits.isWalk := state === s_walk
865  io.commits.isCommit := state === s_idle && !blockCommit
866  val walk_v = VecInit(walkPtrVec.map(ptr => valid(ptr.value)))
867  val commit_v = VecInit(deqPtrVec.map(ptr => valid(ptr.value)))
868  if(backendParams.debugEn) {
869    dontTouch(commit_v)
870  }
871  val commit_vDeqGroup = Reg(chiselTypeOf(walk_v))
872  // store will be commited iff both sta & std have been writebacked
873  val commit_w = VecInit(deqPtrVec.map(ptr => isWritebacked(ptr.value) && commitTrigger(ptr.value)))
874  val commit_wDeqGroup = Reg(chiselTypeOf(walk_v))
875  val commit_exception = exceptionDataRead.valid && !isAfter(exceptionDataRead.bits.robIdx, deqPtrVec.last)
876  val commit_block = VecInit((0 until CommitWidth).map(i => !commit_wDeqGroup(i)))
877  val allowOnlyOneCommit = commit_exception || intrBitSetReg
878  // for instructions that may block others, we don't allow them to commit
879  for (i <- 0 until CommitWidth) {
880    // defaults: state === s_idle and instructions commit
881    // when intrBitSetReg, allow only one instruction to commit at each clock cycle
882    val isBlocked = if (i != 0) Cat(commit_block.take(i)).orR || allowOnlyOneCommit else intrEnable || deqHasException || deqHasReplayInst
883    io.commits.commitValid(i) := commit_vDeqGroup(i) && commit_wDeqGroup(i) && !isBlocked
884    io.commits.info(i) := dispatchDataRead(i)
885    io.commits.robIdx(i) := deqPtrVec(i)
886
887    io.commits.walkValid(i) := shouldWalkVec(i)
888    when (state === s_walk) {
889      when (io.commits.isWalk && state === s_walk && shouldWalkVec(i)) {
890        XSError(!walk_v(i), s"The walking entry($i) should be valid\n")
891      }
892    }
893
894    XSInfo(io.commits.isCommit && io.commits.commitValid(i),
895      "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n",
896      debug_microOp(deqPtrVec(i).value).pc,
897      io.commits.info(i).rfWen,
898      io.commits.info(i).ldest,
899      io.commits.info(i).pdest,
900      debug_exuData(deqPtrVec(i).value),
901      fflagsDataRead(i),
902      vxsatDataRead(i)
903    )
904    XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n",
905      debug_microOp(walkPtrVec(i).value).pc,
906      io.commits.info(i).rfWen,
907      io.commits.info(i).ldest,
908      debug_exuData(walkPtrVec(i).value)
909    )
910  }
911  if (env.EnableDifftest) {
912    io.commits.info.map(info => dontTouch(info.pc))
913  }
914
915  // sync fflags/dirty_fs/vxsat to csr
916  io.csr.fflags := RegEnable(fflags, io.commits.isCommit)
917  io.csr.dirty_fs := RegEnable(dirty_fs, io.commits.isCommit)
918  io.csr.vxsat := RegEnable(vxsat, io.commits.isCommit)
919
920  // sync v csr to csr
921  // for difftest
922  if(env.AlwaysBasicDiff || env.EnableDifftest) {
923    val isDiffWriteVconfigVec = io.diffCommits.get.commitValid.zip(io.diffCommits.get.info).map { case (valid, info) => valid && info.ldest === VCONFIG_IDX.U && info.vecWen }.reverse
924    io.csr.vcsrFlag := RegNext(io.diffCommits.get.isCommit && Cat(isDiffWriteVconfigVec).orR)
925  }
926  else{
927    io.csr.vcsrFlag := false.B
928  }
929
930  // commit load/store to lsq
931  val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD))
932  val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE))
933  val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr)))
934  io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U))
935  io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U))
936  // indicate a pending load or store
937  io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && valid(deqPtr.value) && mmio(deqPtr.value))
938  io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && valid(deqPtr.value))
939  io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0))
940  io.lsq.pendingPtr := RegNext(deqPtr)
941  io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head)
942
943  /**
944    * state changes
945    * (1) redirect: switch to s_walk
946    * (2) walk: when walking comes to the end, switch to s_idle
947    */
948  val state_next = Mux(
949    io.redirect.valid, s_walk,
950    Mux(
951      state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle,
952      state
953    )
954  )
955  XSPerfAccumulate("s_idle_to_idle",            state === s_idle && state_next === s_idle)
956  XSPerfAccumulate("s_idle_to_walk",            state === s_idle && state_next === s_walk)
957  XSPerfAccumulate("s_walk_to_idle",            state === s_walk && state_next === s_idle)
958  XSPerfAccumulate("s_walk_to_walk",            state === s_walk && state_next === s_walk)
959  state := state_next
960
961  /**
962    * pointers and counters
963    */
964  val deqPtrGenModule = Module(new RobDeqPtrWrapper)
965  deqPtrGenModule.io.state := state
966  deqPtrGenModule.io.deq_v := commit_vDeqGroup
967  deqPtrGenModule.io.deq_w := commit_wDeqGroup
968  deqPtrGenModule.io.exception_state := exceptionDataRead
969  deqPtrGenModule.io.intrBitSetReg := intrBitSetReg
970  deqPtrGenModule.io.hasNoSpecExec := hasWaitForward
971  deqPtrGenModule.io.interrupt_safe := interrupt_safeDeqGroup(0)
972  deqPtrGenModule.io.blockCommit := blockCommit
973  deqPtrVec := deqPtrGenModule.io.out
974  deqPtrVec_next := deqPtrGenModule.io.next_out
975
976  val enqPtrGenModule = Module(new RobEnqPtrWrapper)
977  enqPtrGenModule.io.redirect := io.redirect
978  enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq
979  enqPtrGenModule.io.hasBlockBackward := hasBlockBackward
980  enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop))
981  enqPtrVec := enqPtrGenModule.io.out
982
983  // next walkPtrVec:
984  // (1) redirect occurs: update according to state
985  // (2) walk: move forwards
986  val walkPtrVec_next = Mux(io.redirect.valid,
987    Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect), deqPtrVec_next),
988    Mux(state === s_walk, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec)
989  )
990  walkPtrVec := walkPtrVec_next
991  walkDestSizeDeqGroup.zip(walkPtrVec_next).map{
992    case (reg, ptrNext) => reg := realDestSize(ptrNext.value)
993  }
994  val numValidEntries = distanceBetween(enqPtr, deqPtr)
995  val commitCnt = PopCount(io.commits.commitValid)
996
997  allowEnqueue := numValidEntries + dispatchNum <= (RobSize - RenameWidth).U
998
999  val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0))
1000  when (io.redirect.valid) {
1001    lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx)
1002  }
1003
1004
1005  /**
1006    * States
1007    * We put all the stage bits changes here.
1008
1009    * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit);
1010    * All states: (1) valid; (2) writebacked; (3) flagBkup
1011    */
1012
1013  // update commit_vDeqGroup
1014  val deqPtrValue = Wire(Vec(2 * CommitWidth, new RobPtr))
1015  deqPtrValue.zipWithIndex.map{case (deq, i) => deq := deqPtrVec(0) + i.U}
1016  val commit_vReadVec = Wire(Vec(2 * CommitWidth, chiselTypeOf(commit_v(0))))
1017  val commit_vNextVec = Wire(Vec(2 * CommitWidth, chiselTypeOf(commit_v(0))))
1018  if(backendParams.debugEn) {
1019    dontTouch(commit_vDeqGroup)
1020    dontTouch(commit_vReadVec)
1021    dontTouch(commit_vNextVec)
1022    dontTouch(deqPtrValue)
1023  }
1024  for (i <- 0 until 2 * CommitWidth) {
1025    commit_vReadVec(i) := valid(deqPtrValue(i).value)
1026    commit_vNextVec(i) := commit_vReadVec(i)
1027  }
1028  (0 until CommitWidth).map { case i =>
1029    val nextVec = commit_vNextVec
1030    val commitEn = deqPtrGenModule.io.commitEn
1031    val canCommitPriorityCond = deqPtrGenModule.io.canCommitPriorityCond
1032    val commit_wNextThis = nextVec.drop(i).take(CommitWidth+1)
1033    val originValue = nextVec(i)
1034    val ifCommitEnValue = PriorityMuxDefault(canCommitPriorityCond.zip(commit_wNextThis), originValue)
1035    commit_vDeqGroup(i) := Mux(commitEn, ifCommitEnValue, originValue)
1036  }
1037  // update commit_wDeqGroup
1038  val commit_wReadVec = Wire(Vec(2 * CommitWidth, chiselTypeOf(commit_w(0))))
1039  val commit_wNextVec = Wire(Vec(2 * CommitWidth, chiselTypeOf(commit_w(0))))
1040  if(backendParams.debugEn) {
1041    dontTouch(commit_wDeqGroup)
1042    dontTouch(commit_wReadVec)
1043    dontTouch(commit_wNextVec)
1044    dontTouch(commit_w)
1045  }
1046  for (i <- 0 until 2 * CommitWidth) {
1047    commit_wReadVec(i) := isWritebacked(deqPtrValue(i).value)
1048    commit_wNextVec(i) := commit_wReadVec(i)
1049  }
1050  (0 until CommitWidth).map { case i =>
1051    val nextVec = commit_wNextVec
1052    val commitEn = deqPtrGenModule.io.commitEn
1053    val canCommitPriorityCond = deqPtrGenModule.io.canCommitPriorityCond
1054    val commit_wNextThis = nextVec.drop(i).take(CommitWidth+1)
1055    val originValue = nextVec(i)
1056    val ifCommitEnValue = PriorityMuxDefault(canCommitPriorityCond.zip(commit_wNextThis),originValue)
1057    commit_wDeqGroup(i) := Mux(commitEn, ifCommitEnValue, originValue)
1058  }
1059  val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value)))
1060
1061  // redirect logic writes 6 valid
1062  val redirectHeadVec = Reg(Vec(RenameWidth, new RobPtr))
1063  val redirectTail = Reg(new RobPtr)
1064  val redirectIdle :: redirectBusy :: Nil = Enum(2)
1065  val redirectState = RegInit(redirectIdle)
1066  val invMask = redirectHeadVec.map(redirectHead => isBefore(redirectHead, redirectTail))
1067  when(redirectState === redirectBusy) {
1068    redirectHeadVec.foreach(redirectHead => redirectHead := redirectHead + RenameWidth.U)
1069    redirectHeadVec zip invMask foreach {
1070      case (redirectHead, inv) => when(inv) {
1071        valid(redirectHead.value) := false.B
1072        for (j <- 0 until 2 * CommitWidth) {
1073          when(redirectHead.value === deqPtrValue(j).value) {
1074            commit_vNextVec(j) := false.B
1075          }
1076        }
1077      }
1078    }
1079    when(!invMask.last) {
1080      redirectState := redirectIdle
1081    }
1082  }
1083  when(io.redirect.valid) {
1084    redirectState := redirectBusy
1085    when(redirectState === redirectIdle) {
1086      redirectTail := enqPtr
1087    }
1088    redirectHeadVec.zipWithIndex.foreach { case (redirectHead, i) =>
1089      redirectHead := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U)
1090    }
1091  }
1092  // enqueue logic writes 6 valid
1093  for (i <- 0 until RenameWidth) {
1094    when (canEnqueue(i) && !io.redirect.valid) {
1095      valid(allocatePtrVec(i).value) := true.B
1096    }
1097  }
1098  // dequeue logic writes 6 valid
1099  for (i <- 0 until CommitWidth) {
1100    val commitValid = io.commits.isCommit && io.commits.commitValid(i)
1101    when (commitValid) {
1102      valid(commitReadAddr(i)) := false.B
1103      for (j <- 0 until 2 * CommitWidth) {
1104        when(commitReadAddr(i) === deqPtrValue(j).value) {
1105          commit_vNextVec(j) := false.B
1106        }
1107      }
1108    }
1109  }
1110
1111  // debug_inst update
1112  for(i <- 0 until (LduCnt + StaCnt)) {
1113    debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i))
1114    debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i))
1115    debug_lsInfo(io.debug_ls.debugLsInfo(i).s3_robIdx).s3SignalEnable(io.debug_ls.debugLsInfo(i))
1116  }
1117  for (i <- 0 until LduCnt) {
1118    debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i))
1119    debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i))
1120  }
1121
1122  // status field: writebacked
1123  // enqueue logic set 6 writebacked to false
1124  for (i <- 0 until RenameWidth) {
1125    when(canEnqueue(i)) {
1126      val enqHasException = ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec).asUInt.orR
1127      val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire
1128      val enqIsWritebacked = io.enq.req(i).bits.eliminatedMove
1129      val isStu = FuType.isStore(io.enq.req(i).bits.fuType)
1130      commitTrigger(allocatePtrVec(i).value) := enqIsWritebacked && !enqHasException && !enqHasTriggerCanFire && !isStu
1131    }
1132  }
1133  when(exceptionGen.io.out.valid) {
1134    val wbIdx = exceptionGen.io.out.bits.robIdx.value
1135    commitTrigger(wbIdx) := true.B
1136  }
1137
1138  // writeback logic set numWbPorts writebacked to true
1139  val blockWbSeq = Wire(Vec(exuWBs.length, Bool()))
1140  blockWbSeq.map(_ := false.B)
1141  for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) {
1142    when(wb.valid) {
1143      val wbIdx = wb.bits.robIdx.value
1144      val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR
1145      val wbHasTriggerCanFire = wb.bits.trigger.getOrElse(0.U).asTypeOf(io.enq.req(0).bits.trigger).getBackendCanFire //Todo: wb.bits.trigger.getHitBackend
1146      val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B)
1147      val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst
1148      blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerCanFire
1149      commitTrigger(wbIdx) := !blockWb
1150    }
1151  }
1152
1153  // if the first uop of an instruction is valid , write writebackedCounter
1154  val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid)
1155  val instEnqValidSeq = io.enq.req.map (req => io.enq.canAccept && req.valid && req.bits.firstUop)
1156  val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf)
1157  val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value)
1158  val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops))
1159  val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB))
1160  val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove))
1161
1162  private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map {
1163    req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType)
1164  })
1165  val fflags_wb = fflagsWBs
1166  val vxsat_wb = vxsatWBs
1167  for(i <- 0 until RobSize){
1168
1169    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U)
1170    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch }
1171    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch }
1172    val instCanEnqFlag = Cat(instCanEnqSeq).orR
1173
1174    realDestSize(i) := Mux(!valid(i) && instCanEnqFlag || valid(i), realDestSize(i) + PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map{ case(writeFlag, valid) => writeFlag && valid }), 0.U)
1175
1176    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
1177    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
1178    val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec)
1179    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
1180
1181    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
1182    val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map{ case(canWb, blockWb) => canWb && !blockWb }
1183    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U))
1184    val wbCnt = Mux1H(canWbNoBlockSeq, io.writebackNums.map(_.bits))
1185
1186    val exceptionHas = RegInit(false.B)
1187    val exceptionHasWire = Wire(Bool())
1188    exceptionHasWire := MuxCase(exceptionHas, Seq(
1189      (valid(i) && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === i.U) -> true.B,
1190      !valid(i) -> false.B
1191    ))
1192    exceptionHas := exceptionHasWire
1193
1194    when (exceptionHas || exceptionHasWire) {
1195      // exception flush
1196      uopNumVec(i) := 0.U
1197      stdWritebacked(i) := true.B
1198      for (j <- 0 until 2 * CommitWidth) {
1199        when(i.U === deqPtrValue(j).value) {
1200          commit_wNextVec(j) := true.B
1201        }
1202      }
1203    }.elsewhen(!valid(i) && instCanEnqFlag) {
1204      // enq set num of uops
1205      uopNumVec(i) := enqWBNum
1206      stdWritebacked(i) := Mux(enqWriteStd, false.B, true.B)
1207    }.elsewhen(valid(i)) {
1208      // update by writing back
1209      uopNumVec(i) := uopNumVec(i) - wbCnt
1210      assert(!(uopNumVec(i) - wbCnt > uopNumVec(i)), s"Overflow! robIdx=$i")
1211      for (j <- 0 until 2 * CommitWidth) {
1212        when(i.U === deqPtrValue(j).value) {
1213          commit_wNextVec(j) := (uopNumVec(i) === wbCnt) && stdWritebacked(i)
1214        }
1215      }
1216      when (canStdWbSeq.asUInt.orR) {
1217        stdWritebacked(i) := true.B
1218        for (j <- 0 until 2 * CommitWidth) {
1219          when(i.U === deqPtrValue(j).value) {
1220            commit_wNextVec(j) := uopNumVec(i) === wbCnt
1221          }
1222        }
1223      }
1224    }.otherwise {
1225      uopNumVec(i) := 0.U
1226      for (j <- 0 until 2 * CommitWidth) {
1227        when(i.U === deqPtrValue(j).value) {
1228          commit_wNextVec(j) := stdWritebacked(i)
1229        }
1230      }
1231    }
1232
1233    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B))
1234    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
1235    fflagsDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, fflagsDataModule(i) | fflagsRes)
1236
1237    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
1238    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
1239    vxsatDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, vxsatDataModule(i) | vxsatRes)
1240  }
1241  // update uopNumVecDeqGroup
1242  val realDestSizeReadVec = Wire(Vec(2*CommitWidth, chiselTypeOf(realDestSize(0))))
1243  val realDestSizeNextVec = Wire(Vec(2*CommitWidth, chiselTypeOf(realDestSize(0))))
1244  for(i <- 0 until 2*CommitWidth) {
1245    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === deqPtrValue(i).value)
1246    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
1247    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
1248    val instCanEnqFlag = Cat(instCanEnqSeq).orR
1249    realDestSizeReadVec(i) := realDestSize(deqPtrValue(i).value)
1250    realDestSizeNextVec(i) := Mux(valid(deqPtrValue(i).value) || instCanEnqFlag, realDestSizeReadVec(i) + PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid }), 0.U)
1251  }
1252  (0 until CommitWidth).map{ case i =>
1253    val nextVec = realDestSizeNextVec
1254    val commitEn = deqPtrGenModule.io.commitEn
1255    val canCommitPriorityCond = deqPtrGenModule.io.canCommitPriorityCond
1256    val commit_wNextThis = nextVec.drop(i).take(CommitWidth+1)
1257    val originValue = nextVec(i)
1258    val ifCommitEnValue = PriorityMuxDefault(canCommitPriorityCond.zip(commit_wNextThis), originValue)
1259    realDestSizeDeqGroup(i) := Mux(commitEn, ifCommitEnValue, originValue)
1260  }
1261  // flagBkup
1262  // enqueue logic set 6 flagBkup at most
1263  for (i <- 0 until RenameWidth) {
1264    when (canEnqueue(i)) {
1265      flagBkup(allocatePtrVec(i).value) := allocatePtrVec(i).flag
1266    }
1267  }
1268
1269  // interrupt_safe
1270
1271  val interrupt_safeReadVec = Wire(Vec(2 * CommitWidth, chiselTypeOf(interrupt_safe(0))))
1272  val interrupt_safeNextVec = Wire(Vec(2 * CommitWidth, chiselTypeOf(interrupt_safe(0))))
1273  if(backendParams.debugEn){
1274    dontTouch(interrupt_safeDeqGroup)
1275    dontTouch(interrupt_safeReadVec)
1276    dontTouch(interrupt_safeNextVec)
1277  }
1278  for (i <- 0 until 2 * CommitWidth) {
1279    interrupt_safeReadVec(i) := interrupt_safe(deqPtrValue(i).value)
1280    interrupt_safeNextVec(i) := interrupt_safeReadVec(i)
1281  }
1282  (0 until CommitWidth).map { case i =>
1283    val nextVec = interrupt_safeNextVec
1284    val commitEn = deqPtrGenModule.io.commitEn
1285    val canCommitPriorityCond = deqPtrGenModule.io.canCommitPriorityCond
1286    val commit_wNextThis = nextVec.drop(i).take(CommitWidth+1)
1287    val originValue = nextVec(i)
1288    val ifCommitEnValue = PriorityMuxDefault(canCommitPriorityCond.zip(commit_wNextThis), originValue)
1289    interrupt_safeDeqGroup(i) := Mux(commitEn, ifCommitEnValue, originValue)
1290  }
1291  for (i <- 0 until RenameWidth) {
1292    // We RegNext the updates for better timing.
1293    // Note that instructions won't change the system's states in this cycle.
1294    when (RegNext(canEnqueue(i))) {
1295      // For now, we allow non-load-store instructions to trigger interrupts
1296      // For MMIO instructions, they should not trigger interrupts since they may
1297      // be sent to lower level before it writes back.
1298      // However, we cannot determine whether a load/store instruction is MMIO.
1299      // Thus, we don't allow load/store instructions to trigger an interrupt.
1300      // TODO: support non-MMIO load-store instructions to trigger interrupts
1301      val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType)
1302      interrupt_safe(RegEnable(allocatePtrVec(i).value, canEnqueue(i))) := RegEnable(allow_interrupts, canEnqueue(i))
1303      for (j <- 0 until 2 * CommitWidth) {
1304        when(RegNext(allocatePtrVec(i).value) === deqPtrValue(j).value) {
1305          interrupt_safeNextVec(j) := RegEnable(allow_interrupts, canEnqueue(i))
1306        }
1307      }
1308    }
1309  }
1310
1311  /**
1312    * read and write of data modules
1313    */
1314  val commitReadAddr_next = Mux(state_next === s_idle,
1315    VecInit(deqPtrVec_next.map(_.value)),
1316    VecInit(walkPtrVec_next.map(_.value))
1317  )
1318  dispatchData.io.wen := canEnqueue
1319  dispatchData.io.waddr := allocatePtrVec.map(_.value)
1320  dispatchData.io.wdata.zip(io.enq.req.map(_.bits)).zipWithIndex.foreach { case ((wdata, req), portIdx) =>
1321    wdata.ldest := req.ldest
1322    wdata.rfWen := req.rfWen
1323    wdata.dirtyFs := req.dirtyFs
1324    wdata.vecWen := req.vecWen
1325    wdata.wflags := req.wfflags
1326    wdata.commitType := req.commitType
1327    wdata.pdest := req.pdest
1328    wdata.ftqIdx := req.ftqPtr
1329    wdata.ftqOffset := req.ftqOffset
1330    wdata.isMove := req.eliminatedMove
1331    wdata.isRVC := req.preDecodeInfo.isRVC
1332    wdata.pc := req.pc
1333    wdata.vtype := req.vpu.vtype
1334    wdata.isVset := req.isVset
1335    wdata.isHls := req.isHls
1336    wdata.instrSize := req.instrSize
1337  }
1338  for (i <- 0 until CommitWidth) {
1339    dispatchData.io.ren.get(i) := deqPtrGenModule.io.commitEn || io.redirect.valid || state === s_walk
1340  }
1341  dispatchData.io.raddr := commitReadAddr_next
1342
1343  exceptionGen.io.redirect <> io.redirect
1344  exceptionGen.io.flush := io.flushOut.valid
1345
1346  val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept))
1347  for (i <- 0 until RenameWidth) {
1348    exceptionGen.io.enq(i).valid := canEnqueueEG(i)
1349    exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx
1350    exceptionGen.io.enq(i).bits.ftqPtr := io.enq.req(i).bits.ftqPtr
1351    exceptionGen.io.enq(i).bits.ftqOffset := io.enq.req(i).bits.ftqOffset
1352    exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec)
1353    exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe
1354    exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset
1355    exceptionGen.io.enq(i).bits.replayInst := false.B
1356    XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst")
1357    exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep
1358    exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix
1359    exceptionGen.io.enq(i).bits.trigger.clear()
1360    exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit
1361    exceptionGen.io.enq(i).bits.trigger.frontendCanFire := io.enq.req(i).bits.trigger.frontendCanFire
1362    exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare
1363    exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare
1364  }
1365
1366  println(s"ExceptionGen:")
1367  println(s"num of exceptions: ${params.numException}")
1368  require(exceptionWBs.length == exceptionGen.io.wb.length,
1369    f"exceptionWBs.length: ${exceptionWBs.length}, " +
1370      f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}")
1371  for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) {
1372    exc_wb.valid                := wb.valid
1373    exc_wb.bits.robIdx          := wb.bits.robIdx
1374    // only enq inst use ftqPtr to read gpa
1375    exc_wb.bits.ftqPtr          := 0.U.asTypeOf(exc_wb.bits.ftqPtr)
1376    exc_wb.bits.ftqOffset       := 0.U.asTypeOf(exc_wb.bits.ftqOffset)
1377    exc_wb.bits.exceptionVec    := wb.bits.exceptionVec.get
1378    exc_wb.bits.flushPipe       := wb.bits.flushPipe.getOrElse(false.B)
1379    exc_wb.bits.isVset          := false.B
1380    exc_wb.bits.replayInst      := wb.bits.replay.getOrElse(false.B)
1381    exc_wb.bits.singleStep      := false.B
1382    exc_wb.bits.crossPageIPFFix := false.B
1383    // TODO: make trigger configurable
1384    val trigger = wb.bits.trigger.getOrElse(0.U).asTypeOf(exc_wb.bits.trigger)
1385    exc_wb.bits.trigger.clear() // Don't care frontend timing, chain, hit and canFire
1386    exc_wb.bits.trigger.backendHit := trigger.backendHit
1387    exc_wb.bits.trigger.backendCanFire := trigger.backendCanFire
1388    exc_wb.bits.vstartEn := false.B //wb.bits.vstartEn.getOrElse(false.B) // todo need add vstart in ExuOutput
1389    exc_wb.bits.vstart := 0.U //wb.bits.vstart.getOrElse(0.U)
1390//    println(s"  [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " +
1391//      s"flushPipe ${configs.exists(_.flushPipe)}, " +
1392//      s"replayInst ${configs.exists(_.replayInst)}")
1393  }
1394
1395  fflagsDataRead := (0 until CommitWidth).map(i => fflagsDataModule(deqPtrVec(i).value))
1396  vxsatDataRead := (0 until CommitWidth).map(i => vxsatDataModule(deqPtrVec(i).value))
1397
1398  val instrCntReg = RegInit(0.U(64.W))
1399  val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) })
1400  val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt
1401  val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U)
1402  val instrCnt = instrCntReg + retireCounter
1403  instrCntReg := instrCnt
1404  io.csr.perfinfo.retiredInstr := retireCounter
1405  io.robFull := !allowEnqueue
1406  io.headNotReady := commit_vDeqGroup.head && !commit_wDeqGroup.head
1407
1408  /**
1409    * debug info
1410    */
1411  XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n")
1412  XSDebug("")
1413  XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n")
1414  for(i <- 0 until RobSize) {
1415    XSDebug(false, !valid(i), "-")
1416    XSDebug(false, valid(i) && isWritebacked(i.U), "w")
1417    XSDebug(false, valid(i) && !isWritebacked(i.U), "v")
1418  }
1419  XSDebug(false, true.B, "\n")
1420
1421  for(i <- 0 until RobSize) {
1422    if (i % 4 == 0) XSDebug("")
1423    XSDebug(false, true.B, "%x ", debug_microOp(i).pc)
1424    XSDebug(false, !valid(i), "- ")
1425    XSDebug(false, valid(i) && isWritebacked(i.U), "w ")
1426    XSDebug(false, valid(i) && !isWritebacked(i.U), "v ")
1427    if (i % 4 == 3) XSDebug(false, true.B, "\n")
1428  }
1429
1430  def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U)
1431  def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U)
1432
1433  val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_))
1434  XSPerfAccumulate("clock_cycle", 1.U)
1435  QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U)
1436  XSPerfAccumulate("commitUop", ifCommit(commitCnt))
1437  XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt))
1438  XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset)
1439  XSPerfRolling("cpi", perfCnt = 1.U/*Cycle*/, eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset)
1440  val commitIsMove = commitDebugUop.map(_.isMove)
1441  XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m })))
1442  val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove)
1443  XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e })))
1444  XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt))
1445  val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD)
1446  val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map{ case (v, t) => v && t }
1447  XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid)))
1448  val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH)
1449  val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map{ case (v, t) => v && t }
1450  XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid)))
1451  val commitLoadWaitBit = commitDebugUop.map(_.loadWaitBit)
1452  XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w })))
1453  val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE)
1454  XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t })))
1455  XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => valid(i) && isWritebacked(i.U))))
1456  // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire)))
1457  // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready)))
1458  XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U))
1459  XSPerfAccumulate("walkCycleTotal", state === s_walk)
1460  XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd)
1461  private val walkCycle = RegInit(0.U(8.W))
1462  private val waitRabWalkCycle = RegInit(0.U(8.W))
1463  walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1464  waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1465
1466  XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32)
1467  XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32)
1468  XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32)
1469
1470  private val deqNotWritebacked = valid(deqPtr.value) && !isWritebacked(deqPtr.value)
1471  private val deqStdNotWritebacked = valid(deqPtr.value) && !stdWritebacked(deqPtr.value)
1472  private val deqUopNotWritebacked = valid(deqPtr.value) && !isUopWritebacked(deqPtr.value)
1473  private val deqHeadInfo = debug_microOp(deqPtr.value)
1474  val deqUopCommitType = io.commits.info(0).commitType
1475
1476  XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U)
1477  XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U)
1478  XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U)
1479  XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U)
1480  XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U)
1481  XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U)
1482  XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U)
1483  XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U)
1484  XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U)
1485  XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1486  XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1487  XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1488  XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U)
1489
1490  XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL)
1491  XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH)
1492  XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD)
1493  XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE)
1494  XSPerfAccumulate("robHeadPC", io.commits.info(0).pc)
1495  XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map{case(valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U}))
1496  (2 to RenameWidth).foreach(i =>
1497    XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map{case(valid, info) => io.commits.isCommit && valid && info.instrSize === i.U}))
1498  )
1499  XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _))
1500  val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime)
1501  val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime)
1502  val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime)
1503  val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime)
1504  val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime)
1505  val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime)
1506  val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime)
1507  def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = {
1508    cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _)
1509  }
1510  for (fuType <- FuType.functionNameMap.keys) {
1511    val fuName = FuType.functionNameMap(fuType)
1512    val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U )
1513    XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset)
1514    XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType)))
1515    XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency)))
1516    XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency)))
1517    XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency)))
1518    XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency)))
1519    XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency)))
1520    XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency)))
1521    XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency)))
1522  }
1523  XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt)
1524
1525  // top-down info
1526  io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid
1527  io.debugTopDown.toCore.robHeadVaddr.bits  := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits
1528  io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid
1529  io.debugTopDown.toCore.robHeadPaddr.bits  := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits
1530  io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt)
1531  io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value)
1532  io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value)
1533  io.debugTopDown.robHeadLqIdx.bits  := debug_microOp(deqPtr.value).lqIdx
1534
1535  // rolling
1536  io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt)
1537
1538  /**
1539    * DataBase info:
1540    * log trigger is at writeback valid
1541    * */
1542
1543  /**
1544    * @todo add InstInfoEntry back
1545    * @author Maxpicca-Li
1546    */
1547
1548  //difftest signals
1549  val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value
1550
1551  val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1552  val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1553
1554  for(i <- 0 until CommitWidth) {
1555    val idx = deqPtrVec(i).value
1556    wdata(i) := debug_exuData(idx)
1557    wpc(i) := SignExt(commitDebugUop(i).pc, XLEN)
1558  }
1559
1560  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1561    // These are the structures used by difftest only and should be optimized after synthesis.
1562    val dt_eliminatedMove = Mem(RobSize, Bool())
1563    val dt_isRVC = Mem(RobSize, Bool())
1564    val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle))
1565    for (i <- 0 until RenameWidth) {
1566      when (canEnqueue(i)) {
1567        dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove
1568        dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC
1569      }
1570    }
1571    for (wb <- exuWBs) {
1572      when (wb.valid) {
1573        val wbIdx = wb.bits.robIdx.value
1574        dt_exuDebug(wbIdx) := wb.bits.debug
1575      }
1576    }
1577    // Always instantiate basic difftest modules.
1578    for (i <- 0 until CommitWidth) {
1579      val uop = commitDebugUop(i)
1580      val commitInfo = io.commits.info(i)
1581      val ptr = deqPtrVec(i).value
1582      val exuOut = dt_exuDebug(ptr)
1583      val eliminatedMove = dt_eliminatedMove(ptr)
1584      val isRVC = dt_isRVC(ptr)
1585
1586      val difftest = DifftestModule(new DiffInstrCommit(MaxPhyPregs), delay = 3, dontCare = true)
1587      difftest.coreid  := io.hartId
1588      difftest.index   := i.U
1589      difftest.valid   := io.commits.commitValid(i) && io.commits.isCommit
1590      difftest.skip    := Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt)
1591      difftest.isRVC   := isRVC
1592      difftest.rfwen   := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.ldest =/= 0.U
1593      difftest.fpwen   := io.commits.commitValid(i) && uop.fpWen
1594      difftest.wpdest  := commitInfo.pdest
1595      difftest.wdest   := commitInfo.ldest
1596      difftest.nFused  := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U
1597      when(difftest.valid) {
1598        assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U)
1599      }
1600      if (env.EnableDifftest) {
1601        val uop = commitDebugUop(i)
1602        difftest.pc       := SignExt(uop.pc, XLEN)
1603        difftest.instr    := uop.instr
1604        difftest.robIdx   := ZeroExt(ptr, 10)
1605        difftest.lqIdx    := ZeroExt(uop.lqIdx.value, 7)
1606        difftest.sqIdx    := ZeroExt(uop.sqIdx.value, 7)
1607        difftest.isLoad   := io.commits.info(i).commitType === CommitType.LOAD
1608        difftest.isStore  := io.commits.info(i).commitType === CommitType.STORE
1609      }
1610    }
1611  }
1612
1613  if (env.EnableDifftest) {
1614    for (i <- 0 until CommitWidth) {
1615      val difftest = DifftestModule(new DiffLoadEvent, delay = 3)
1616      difftest.coreid := io.hartId
1617      difftest.index  := i.U
1618
1619      val ptr = deqPtrVec(i).value
1620      val uop = commitDebugUop(i)
1621      val exuOut = debug_exuDebug(ptr)
1622      difftest.valid    := io.commits.commitValid(i) && io.commits.isCommit
1623      difftest.paddr    := exuOut.paddr
1624      difftest.opType   := uop.fuOpType
1625      difftest.isAtomic := FuType.isAMO(uop.fuType)
1626      difftest.isLoad   := FuType.isLoad(uop.fuType)
1627    }
1628  }
1629
1630  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1631    val dt_isXSTrap = Mem(RobSize, Bool())
1632    for (i <- 0 until RenameWidth) {
1633      when (canEnqueue(i)) {
1634        dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap
1635      }
1636    }
1637    val trapVec = io.commits.commitValid.zip(deqPtrVec).map{ case (v, d) =>
1638      io.commits.isCommit && v && dt_isXSTrap(d.value)
1639    }
1640    val hitTrap = trapVec.reduce(_||_)
1641    val difftest = DifftestModule(new DiffTrapEvent, dontCare = true)
1642    difftest.coreid   := io.hartId
1643    difftest.hasTrap  := hitTrap
1644    difftest.cycleCnt := timer
1645    difftest.instrCnt := instrCnt
1646    difftest.hasWFI   := hasWFI
1647
1648    if (env.EnableDifftest) {
1649      val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1))
1650      val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 ->x._1)), XLEN)
1651      difftest.code     := trapCode
1652      difftest.pc       := trapPC
1653    }
1654  }
1655
1656  val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(valid.drop(i * 32).take(32))))
1657  val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _))
1658  val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m })
1659  val commitLoadVec = VecInit(commitLoadValid)
1660  val commitBranchVec = VecInit(commitBranchValid)
1661  val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w })
1662  val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t })
1663  val perfEvents = Seq(
1664    ("rob_interrupt_num      ", io.flushOut.valid && intrEnable                                       ),
1665    ("rob_exception_num      ", io.flushOut.valid && exceptionEnable                                  ),
1666    ("rob_flush_pipe_num     ", io.flushOut.valid && isFlushPipe                                      ),
1667    ("rob_replay_inst_num    ", io.flushOut.valid && isFlushPipe && deqHasReplayInst                  ),
1668    ("rob_commitUop          ", ifCommit(commitCnt)                                                   ),
1669    ("rob_commitInstr        ", ifCommitReg(trueCommitCnt)                                            ),
1670    ("rob_commitInstrMove    ", ifCommitReg(PopCount(RegNext(commitMoveVec)))                         ),
1671    ("rob_commitInstrFused   ", ifCommitReg(fuseCommitCnt)                                            ),
1672    ("rob_commitInstrLoad    ", ifCommitReg(PopCount(RegNext(commitLoadVec)))                         ),
1673    ("rob_commitInstrBranch  ", ifCommitReg(PopCount(RegNext(commitBranchVec)))                       ),
1674    ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec)))                     ),
1675    ("rob_commitInstrStore   ", ifCommitReg(PopCount(RegNext(commitStoreVec)))                        ),
1676    ("rob_walkInstr          ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)           ),
1677    ("rob_walkCycle          ", (state === s_walk)                                                    ),
1678    ("rob_1_4_valid          ", validEntries <= (RobSize / 4).U                                       ),
1679    ("rob_2_4_valid          ", validEntries >  (RobSize / 4).U && validEntries <= (RobSize / 2).U    ),
1680    ("rob_3_4_valid          ", validEntries >  (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U),
1681    ("rob_4_4_valid          ", validEntries >  (RobSize * 3 / 4).U                                   ),
1682  )
1683  generatePerfEvent()
1684}
1685