1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.backend.rob 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import difftest._ 23import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 24import utility._ 25import utils._ 26import xiangshan._ 27import xiangshan.backend.BackendParams 28import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 29import xiangshan.backend.fu.{FuConfig, FuType} 30import xiangshan.frontend.FtqPtr 31import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 32import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 33import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo} 34import xiangshan.backend.fu.vector.Bundles.VType 35import xiangshan.backend.rename.SnapshotGenerator 36import yunsuan.VfaluType 37import xiangshan.backend.rob.RobBundles._ 38import xiangshan.backend.trace._ 39 40class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 41 override def shouldBeInlined: Boolean = false 42 43 lazy val module = new RobImp(this)(p, params) 44} 45 46class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper) 47 with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents { 48 49 private val LduCnt = params.LduCnt 50 private val StaCnt = params.StaCnt 51 private val HyuCnt = params.HyuCnt 52 53 val io = IO(new Bundle() { 54 val hartId = Input(UInt(hartIdLen.W)) 55 val redirect = Input(Valid(new Redirect)) 56 val enq = new RobEnqIO 57 val flushOut = ValidIO(new Redirect) 58 val exception = ValidIO(new ExceptionInfo) 59 // exu + brq 60 val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles) 61 val exuWriteback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles) 62 val writebackNums = Flipped(Vec(writeback.size - params.StdCnt, ValidIO(UInt(writeback.size.U.getWidth.W)))) 63 val writebackNeedFlush = Input(Vec(params.allExuParams.filter(_.needExceptionGen).length, Bool())) 64 val commits = Output(new RobCommitIO) 65 val rabCommits = Output(new RabCommitIO) 66 val diffCommits = if (backendParams.debugEn) Some(Output(new DiffCommitIO)) else None 67 val isVsetFlushPipe = Output(Bool()) 68 val lsq = new RobLsqIO 69 val robDeqPtr = Output(new RobPtr) 70 val csr = new RobCSRIO 71 val snpt = Input(new SnapshotPort) 72 val robFull = Output(Bool()) 73 val headNotReady = Output(Bool()) 74 val cpu_halt = Output(Bool()) 75 val wfi_enable = Input(Bool()) 76 val toDecode = new Bundle { 77 val isResumeVType = Output(Bool()) 78 val walkVType = ValidIO(VType()) 79 val commitVType = new Bundle { 80 val vtype = ValidIO(VType()) 81 val hasVsetvl = Output(Bool()) 82 } 83 } 84 val readGPAMemAddr = ValidIO(new Bundle { 85 val ftqPtr = new FtqPtr() 86 val ftqOffset = UInt(log2Up(PredictWidth).W) 87 }) 88 val readGPAMemData = Input(UInt(GPAddrBits.W)) 89 val vstartIsZero = Input(Bool()) 90 91 val debug_ls = Flipped(new DebugLSIO) 92 val debugRobHead = Output(new DynInst) 93 val debugEnqLsq = Input(new LsqEnqIO) 94 val debugHeadLsIssue = Input(Bool()) 95 val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo)) 96 val debugTopDown = new Bundle { 97 val toCore = new RobCoreTopDownIO 98 val toDispatch = new RobDispatchTopDownIO 99 val robHeadLqIdx = Valid(new LqPtr) 100 } 101 val debugRolling = new RobDebugRollingIO 102 }) 103 104 val exuWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(!_.bits.params.hasStdFu).toSeq 105 val stdWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(_.bits.params.hasStdFu).toSeq 106 val fflagsWBs = io.exuWriteback.filter(x => x.bits.fflags.nonEmpty).toSeq 107 val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty).toSeq 108 val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty).toSeq 109 val vxsatWBs = io.exuWriteback.filter(x => x.bits.vxsat.nonEmpty).toSeq 110 val branchWBs = io.exuWriteback.filter(_.bits.params.hasBrhFu).toSeq 111 val csrWBs = io.exuWriteback.filter(x => x.bits.params.hasCSR).toSeq 112 113 val numExuWbPorts = exuWBs.length 114 val numStdWbPorts = stdWBs.length 115 val bankAddrWidth = log2Up(CommitWidth) 116 117 println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth") 118 119 val rab = Module(new RenameBuffer(RabSize)) 120 val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize)) 121 val bankNum = 8 122 assert(RobSize % bankNum == 0, "RobSize % bankNum must be 0") 123 val robEntries = Reg(Vec(RobSize, new RobEntryBundle)) 124 // pointers 125 // For enqueue ptr, we don't duplicate it since only enqueue needs it. 126 val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr)) 127 val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 128 val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr)) 129 val walkPtrTrue = Reg(new RobPtr) 130 val lastWalkPtr = Reg(new RobPtr) 131 val allowEnqueue = RegInit(true.B) 132 133 /** 134 * Enqueue (from dispatch) 135 */ 136 // special cases 137 val hasBlockBackward = RegInit(false.B) 138 val hasWaitForward = RegInit(false.B) 139 val doingSvinval = RegInit(false.B) 140 val enqPtr = enqPtrVec(0) 141 val deqPtr = deqPtrVec(0) 142 val walkPtr = walkPtrVec(0) 143 val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop))))) 144 io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq 145 io.enq.resp := allocatePtrVec 146 val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept)) 147 val timer = GTimer() 148 // robEntries enqueue 149 for (i <- 0 until RobSize) { 150 val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2)) 151 assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot") 152 when(enqOH.asUInt.orR && !io.redirect.valid){ 153 connectEnq(robEntries(i), Mux1H(enqOH, io.enq.req.map(_.bits))) 154 } 155 } 156 // robBanks0 include robidx : 0 8 16 24 32 ... 157 val robBanks = VecInit((0 until bankNum).map(i => VecInit(robEntries.zipWithIndex.filter(_._2 % bankNum == i).map(_._1)))) 158 // each Bank has 20 Entries, read addr is one hot 159 // all banks use same raddr 160 val eachBankEntrieNum = robBanks(0).length 161 val robBanksRaddrThisLine = RegInit(1.U(eachBankEntrieNum.W)) 162 val robBanksRaddrNextLine = Wire(UInt(eachBankEntrieNum.W)) 163 robBanksRaddrThisLine := robBanksRaddrNextLine 164 val bankNumWidth = log2Up(bankNum) 165 val deqPtrWidth = deqPtr.value.getWidth 166 val robIdxThisLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth), i.U(bankNumWidth.W)))) 167 val robIdxNextLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth) + 1.U, i.U(bankNumWidth.W)))) 168 // robBanks read 169 val robBanksRdataThisLine = VecInit(robBanks.map{ case bank => 170 Mux1H(robBanksRaddrThisLine, bank) 171 }) 172 val robBanksRdataNextLine = VecInit(robBanks.map{ case bank => 173 val shiftBank = bank.drop(1) :+ bank(0) 174 Mux1H(robBanksRaddrThisLine, shiftBank) 175 }) 176 val robBanksRdataThisLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle)) 177 val robBanksRdataNextLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle)) 178 val commitValidThisLine = Wire(Vec(CommitWidth, Bool())) 179 val hasCommitted = RegInit(VecInit(Seq.fill(CommitWidth)(false.B))) 180 val donotNeedWalk = RegInit(VecInit(Seq.fill(CommitWidth)(false.B))) 181 val allCommitted = Wire(Bool()) 182 183 when(allCommitted) { 184 hasCommitted := 0.U.asTypeOf(hasCommitted) 185 }.elsewhen(io.commits.isCommit){ 186 for (i <- 0 until CommitWidth){ 187 hasCommitted(i) := commitValidThisLine(i) || hasCommitted(i) 188 } 189 } 190 allCommitted := io.commits.isCommit && commitValidThisLine.last 191 val walkPtrHead = Wire(new RobPtr) 192 val changeBankAddrToDeqPtr = (walkPtrVec.head + CommitWidth.U) > lastWalkPtr 193 when(io.redirect.valid){ 194 robBanksRaddrNextLine := UIntToOH(walkPtrHead.value(walkPtrHead.value.getWidth-1, bankAddrWidth)) 195 }.elsewhen(allCommitted || io.commits.isWalk && !changeBankAddrToDeqPtr){ 196 robBanksRaddrNextLine := Mux(robBanksRaddrThisLine.head(1) === 1.U, 1.U, robBanksRaddrThisLine << 1) 197 }.elsewhen(io.commits.isWalk && changeBankAddrToDeqPtr){ 198 robBanksRaddrNextLine := UIntToOH(deqPtr.value(deqPtr.value.getWidth-1, bankAddrWidth)) 199 }.otherwise( 200 robBanksRaddrNextLine := robBanksRaddrThisLine 201 ) 202 val robDeqGroup = Reg(Vec(bankNum, new RobCommitEntryBundle)) 203 val rawInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq 204 val commitInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1,0)))).toSeq 205 val walkInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(walkPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq 206 for (i <- 0 until CommitWidth) { 207 connectCommitEntry(robDeqGroup(i), robBanksRdataThisLineUpdate(i)) 208 when(allCommitted){ 209 connectCommitEntry(robDeqGroup(i), robBanksRdataNextLineUpdate(i)) 210 } 211 } 212 213 // In each robentry, the ftqIdx and ftqOffset belong to the first instruction that was compressed, 214 // that is Necessary when exceptions happen. 215 // Update the ftqIdx and ftqOffset to correctly notify the frontend which instructions have been committed. 216 for (i <- 0 until CommitWidth) { 217 val lastOffset = (rawInfo(i).traceBlockInPipe.iretire - (1.U << rawInfo(i).traceBlockInPipe.ilastsize.asUInt)) +& rawInfo(i).ftqOffset 218 commitInfo(i).ftqIdx := rawInfo(i).ftqIdx + lastOffset.head(1) 219 commitInfo(i).ftqOffset := lastOffset.tail(1) 220 } 221 222 // data for debug 223 // Warn: debug_* prefix should not exist in generated verilog. 224 val debug_microOp = DebugMem(RobSize, new DynInst) 225 val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W))) //for debug 226 val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle)) //for debug 227 val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init))) 228 val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init))) 229 val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B)) 230 val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B)) 231 232 val isEmpty = enqPtr === deqPtr 233 val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _) 234 val snapshotPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 235 snapshotPtrVec(0) := io.enq.req(0).bits.robIdx 236 for (i <- 1 until CommitWidth) { 237 snapshotPtrVec(i) := snapshotPtrVec(0) + i.U 238 } 239 val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec) 240 val debug_lsIssue = WireDefault(debug_lsIssued) 241 debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue 242 243 /** 244 * states of Rob 245 */ 246 val s_idle :: s_walk :: Nil = Enum(2) 247 val state = RegInit(s_idle) 248 249 val tip_computing :: tip_stalled :: tip_walk :: tip_drained :: Nil = Enum(4) 250 val tip_state = WireInit(0.U(4.W)) 251 when(!isEmpty) { // One or more inst in ROB 252 when(state === s_walk || io.redirect.valid) { 253 tip_state := tip_walk 254 }.elsewhen(io.commits.isCommit && PopCount(io.commits.commitValid) =/= 0.U) { 255 tip_state := tip_computing 256 }.otherwise { 257 tip_state := tip_stalled 258 } 259 }.otherwise { 260 tip_state := tip_drained 261 } 262 class TipEntry()(implicit p: Parameters) extends XSBundle { 263 val state = UInt(4.W) 264 val commits = new RobCommitIO() // info of commit 265 val redirect = Valid(new Redirect) // info of redirect 266 val redirect_pc = UInt(VAddrBits.W) // PC of the redirect uop 267 val debugLsInfo = new DebugLsInfo() 268 } 269 val tip_table = ChiselDB.createTable("Tip_" + p(XSCoreParamsKey).HartId.toString, new TipEntry) 270 val tip_data = Wire(new TipEntry()) 271 tip_data.state := tip_state 272 tip_data.commits := io.commits 273 tip_data.redirect := io.redirect 274 tip_data.redirect_pc := debug_microOp(io.redirect.bits.robIdx.value).pc 275 tip_data.debugLsInfo := debug_lsInfo(io.commits.robIdx(0).value) 276 tip_table.log(tip_data, true.B, "", clock, reset) 277 278 val exceptionGen = Module(new ExceptionGen(params)) 279 val exceptionDataRead = exceptionGen.io.state 280 val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W))) 281 val vxsatDataRead = Wire(Vec(CommitWidth, Bool())) 282 io.robDeqPtr := deqPtr 283 io.debugRobHead := debug_microOp(deqPtr.value) 284 285 /** 286 * connection of [[rab]] 287 */ 288 rab.io.redirect.valid := io.redirect.valid 289 290 rab.io.req.zip(io.enq.req).map { case (dest, src) => 291 dest.bits := src.bits 292 dest.valid := src.valid && io.enq.canAccept 293 } 294 295 val walkDestSizeDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W)))) 296 val realDestSizeSeq = VecInit(robDeqGroup.zip(hasCommitted).map{case (r, h) => Mux(h, 0.U, r.realDestSize)}) 297 val walkDestSizeSeq = VecInit(robDeqGroup.zip(donotNeedWalk).map{case (r, d) => Mux(d, 0.U, r.realDestSize)}) 298 val commitSizeSumSeq = VecInit((0 until CommitWidth).map(i => realDestSizeSeq.take(i + 1).reduce(_ +& _))) 299 val walkSizeSumSeq = VecInit((0 until CommitWidth).map(i => walkDestSizeSeq.take(i + 1).reduce(_ +& _))) 300 val commitSizeSumCond = VecInit(commitValidThisLine.zip(hasCommitted).map{case (c,h) => (c || h) && io.commits.isCommit}) 301 val walkSizeSumCond = VecInit(io.commits.walkValid.zip(donotNeedWalk).map{case (w,d) => (w || d) && io.commits.isWalk}) 302 val commitSizeSum = PriorityMuxDefault(commitSizeSumCond.reverse.zip(commitSizeSumSeq.reverse), 0.U) 303 val walkSizeSum = PriorityMuxDefault(walkSizeSumCond.reverse.zip(walkSizeSumSeq.reverse), 0.U) 304 305 rab.io.fromRob.commitSize := commitSizeSum 306 rab.io.fromRob.walkSize := walkSizeSum 307 rab.io.snpt := io.snpt 308 rab.io.snpt.snptEnq := snptEnq 309 310 io.rabCommits := rab.io.commits 311 io.diffCommits.foreach(_ := rab.io.diffCommits.get) 312 313 /** 314 * connection of [[vtypeBuffer]] 315 */ 316 317 vtypeBuffer.io.redirect.valid := io.redirect.valid 318 319 vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) => 320 sink.valid := source.valid && io.enq.canAccept 321 sink.bits := source.bits 322 } 323 324 private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset }) 325 private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(walkInfo).map { case (valid, info) => io.commits.isWalk && valid && info.isVset }) 326 vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec) 327 vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec) 328 vtypeBuffer.io.snpt := io.snpt 329 vtypeBuffer.io.snpt.snptEnq := snptEnq 330 io.toDecode.isResumeVType := vtypeBuffer.io.toDecode.isResumeVType 331 io.toDecode.commitVType := vtypeBuffer.io.toDecode.commitVType 332 io.toDecode.walkVType := vtypeBuffer.io.toDecode.walkVType 333 334 // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B 335 // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty. 336 when(isEmpty) { 337 hasBlockBackward := false.B 338 } 339 // When any instruction commits, hasNoSpecExec should be set to false.B 340 when(io.commits.hasWalkInstr || io.commits.hasCommitInstr) { 341 hasWaitForward := false.B 342 } 343 344 // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing. 345 // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle. 346 // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts. 347 val hasWFI = RegInit(false.B) 348 io.cpu_halt := hasWFI 349 // WFI Timeout: 2^20 = 1M cycles 350 val wfi_cycles = RegInit(0.U(20.W)) 351 when(hasWFI) { 352 wfi_cycles := wfi_cycles + 1.U 353 }.elsewhen(!hasWFI && RegNext(hasWFI)) { 354 wfi_cycles := 0.U 355 } 356 val wfi_timeout = wfi_cycles.andR 357 when(RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) { 358 hasWFI := false.B 359 } 360 361 for (i <- 0 until RenameWidth) { 362 // we don't check whether io.redirect is valid here since redirect has higher priority 363 when(canEnqueue(i)) { 364 val enqUop = io.enq.req(i).bits 365 val enqIndex = allocatePtrVec(i).value 366 // store uop in data module and debug_microOp Vec 367 debug_microOp(enqIndex) := enqUop 368 debug_microOp(enqIndex).debugInfo.dispatchTime := timer 369 debug_microOp(enqIndex).debugInfo.enqRsTime := timer 370 debug_microOp(enqIndex).debugInfo.selectTime := timer 371 debug_microOp(enqIndex).debugInfo.issueTime := timer 372 debug_microOp(enqIndex).debugInfo.writebackTime := timer 373 debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer 374 debug_microOp(enqIndex).debugInfo.tlbRespTime := timer 375 debug_lsInfo(enqIndex) := DebugLsInfo.init 376 debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init 377 debug_lqIdxValid(enqIndex) := false.B 378 debug_lsIssued(enqIndex) := false.B 379 when (enqUop.waitForward) { 380 hasWaitForward := true.B 381 } 382 val enqTriggerActionIsDebugMode = TriggerAction.isDmode(io.enq.req(i).bits.trigger) 383 val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR 384 // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process 385 when(!enqTriggerActionIsDebugMode && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) { 386 doingSvinval := true.B 387 } 388 // the end instruction of Svinval enqs so clear doingSvinval 389 when(!enqTriggerActionIsDebugMode && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) { 390 doingSvinval := false.B 391 } 392 // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear 393 assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe) || enqUop.isNotSvinval)) 394 when(enqUop.isWFI && !enqHasException && !enqTriggerActionIsDebugMode) { 395 hasWFI := true.B 396 } 397 398 robEntries(enqIndex).mmio := false.B 399 robEntries(enqIndex).vls := enqUop.vlsInstr 400 } 401 } 402 403 for (i <- 0 until RenameWidth) { 404 val enqUop = io.enq.req(i) 405 when(enqUop.valid && enqUop.bits.blockBackward && io.enq.canAccept) { 406 hasBlockBackward := true.B 407 } 408 } 409 410 val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U) 411 io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR) 412 413 when(!io.wfi_enable) { 414 hasWFI := false.B 415 } 416 // sel vsetvl's flush position 417 val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3) 418 val vsetvlState = RegInit(vs_idle) 419 420 val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr)) 421 val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W))) 422 val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr)) 423 424 val enq0 = io.enq.req(0) 425 val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0) 426 val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe 427 val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map { case (req, fire) => FuType.isVArith(req.bits.fuType) && fire } 428 // for vs_idle 429 val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType))) 430 // for vs_waitVinstr 431 val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1) 432 val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req) 433 when(vsetvlState === vs_idle) { 434 firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr 435 firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset 436 firstVInstrRobIdx := firstVInstrIdle.bits.robIdx 437 }.elsewhen(vsetvlState === vs_waitVinstr) { 438 when(Cat(enqIsVInstrOrVset).orR) { 439 firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr 440 firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset 441 firstVInstrRobIdx := firstVInstrWait.bits.robIdx 442 } 443 } 444 445 val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR 446 when(vsetvlState === vs_idle && !io.redirect.valid) { 447 when(enq0IsVsetFlush) { 448 vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr) 449 } 450 }.elsewhen(vsetvlState === vs_waitVinstr) { 451 when(io.redirect.valid) { 452 vsetvlState := vs_idle 453 }.elsewhen(Cat(enqIsVInstrOrVset).orR) { 454 vsetvlState := vs_waitFlush 455 } 456 }.elsewhen(vsetvlState === vs_waitFlush) { 457 when(io.redirect.valid) { 458 vsetvlState := vs_idle 459 } 460 } 461 462 // lqEnq 463 io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) => 464 when(io.debugEnqLsq.canAccept && alloc && req.valid) { 465 debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx 466 debug_lqIdxValid(req.bits.robIdx.value) := true.B 467 } 468 } 469 470 // lsIssue 471 when(io.debugHeadLsIssue) { 472 debug_lsIssued(deqPtr.value) := true.B 473 } 474 475 /** 476 * Writeback (from execution units) 477 */ 478 for (wb <- exuWBs) { 479 when(wb.valid) { 480 val wbIdx = wb.bits.robIdx.value 481 debug_exuData(wbIdx) := wb.bits.data(0) 482 debug_exuDebug(wbIdx) := wb.bits.debug 483 debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime 484 debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime 485 debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime 486 debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime 487 488 // debug for lqidx and sqidx 489 debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 490 debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 491 492 val debug_Uop = debug_microOp(wbIdx) 493 XSInfo(true.B, 494 p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " + 495 p"data 0x${Hexadecimal(wb.bits.data(0))} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " + 496 p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n" 497 ) 498 } 499 } 500 501 val writebackNum = PopCount(exuWBs.map(_.valid)) 502 XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum) 503 504 for (i <- 0 until LoadPipelineWidth) { 505 when(RegNext(io.lsq.mmio(i))) { 506 robEntries(RegEnable(io.lsq.uop(i).robIdx, io.lsq.mmio(i)).value).mmio := true.B 507 } 508 } 509 510 511 /** 512 * RedirectOut: Interrupt and Exceptions 513 */ 514 val deqDispatchData = robEntries(deqPtr.value) 515 val debug_deqUop = debug_microOp(deqPtr.value) 516 517 val deqPtrEntry = robDeqGroup(deqPtr.value(bankAddrWidth-1,0)) 518 val deqPtrEntryValid = deqPtrEntry.commit_v 519 val intrBitSetReg = RegNext(io.csr.intrBitSet) 520 val intrEnable = intrBitSetReg && !hasWaitForward && deqPtrEntry.interrupt_safe 521 val deqNeedFlush = deqPtrEntry.needFlush && deqPtrEntry.commit_v && deqPtrEntry.commit_w 522 val deqHitExceptionGenState = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr 523 val deqNeedFlushAndHitExceptionGenState = deqNeedFlush && deqHitExceptionGenState 524 val exceptionGenStateIsException = exceptionDataRead.bits.exceptionVec.asUInt.orR || exceptionDataRead.bits.singleStep || TriggerAction.isDmode(exceptionDataRead.bits.trigger) 525 val deqHasException = deqNeedFlushAndHitExceptionGenState && exceptionGenStateIsException 526 val deqHasFlushPipe = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.flushPipe 527 val deqHasReplayInst = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.replayInst 528 529 XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n") 530 XSDebug(deqHasException && TriggerAction.isDmode(exceptionDataRead.bits.trigger), "Debug Mode: Deq has trigger entry debug Mode\n") 531 532 val isFlushPipe = deqPtrEntry.commit_w && (deqHasFlushPipe || deqHasReplayInst) 533 534 val isVsetFlushPipe = deqPtrEntry.commit_w && deqHasFlushPipe && exceptionDataRead.bits.isVset 535 // val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush) 536 val needModifyFtqIdxOffset = false.B 537 io.isVsetFlushPipe := isVsetFlushPipe 538 // io.flushOut will trigger redirect at the next cycle. 539 // Block any redirect or commit at the next cycle. 540 val lastCycleFlush = RegNext(io.flushOut.valid) 541 542 io.flushOut.valid := (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException || isFlushPipe) && !lastCycleFlush 543 io.flushOut.bits := DontCare 544 io.flushOut.bits.isRVC := deqDispatchData.isRVC 545 io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr) 546 io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx) 547 io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset) 548 io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || deqHasException || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next" 549 io.flushOut.bits.interrupt := true.B 550 XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable) 551 XSPerfAccumulate("exception_num", io.flushOut.valid && deqHasException) 552 XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe) 553 XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst) 554 555 val exceptionHappen = (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException) && !lastCycleFlush 556 io.exception.valid := RegNext(exceptionHappen) 557 io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen) 558 io.exception.bits.gpaddr := io.readGPAMemData 559 io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen) 560 io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen) 561 io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen) 562 io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen) 563 io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen) 564 io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen) 565 io.exception.bits.isHls := RegEnable(deqDispatchData.isHls, exceptionHappen) 566 io.exception.bits.vls := RegEnable(robEntries(deqPtr.value).vls, exceptionHappen) 567 io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen) 568 569 // data will be one cycle after valid 570 io.readGPAMemAddr.valid := exceptionHappen 571 io.readGPAMemAddr.bits.ftqPtr := exceptionDataRead.bits.ftqPtr 572 io.readGPAMemAddr.bits.ftqOffset := exceptionDataRead.bits.ftqOffset 573 574 XSDebug(io.flushOut.valid, 575 p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " + 576 p"excp $deqHasException flushPipe $isFlushPipe " + 577 p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n") 578 579 580 /** 581 * Commits (and walk) 582 * They share the same width. 583 */ 584 // T redirect.valid, T+1 use walkPtrVec read robEntries, T+2 start walk, shouldWalkVec used in T+2 585 val shouldWalkVec = Wire(Vec(CommitWidth,Bool())) 586 val walkingPtrVec = RegNext(walkPtrVec) 587 when(io.redirect.valid){ 588 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 589 }.elsewhen(RegNext(io.redirect.valid)){ 590 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 591 }.elsewhen(state === s_walk){ 592 shouldWalkVec := VecInit(walkingPtrVec.map(_ <= lastWalkPtr).zip(donotNeedWalk).map(x => x._1 && !x._2)) 593 }.otherwise( 594 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 595 ) 596 val walkFinished = walkPtrTrue > lastWalkPtr 597 rab.io.fromRob.walkEnd := state === s_walk && walkFinished 598 vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished 599 600 require(RenameWidth <= CommitWidth) 601 602 // wiring to csr 603 val (wflags, dirtyFs) = (0 until CommitWidth).map(i => { 604 val v = io.commits.commitValid(i) 605 val info = io.commits.info(i) 606 (v & info.wflags, v & info.dirtyFs) 607 }).unzip 608 val fflags = Wire(Valid(UInt(5.W))) 609 fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR 610 fflags.bits := wflags.zip(fflagsDataRead).map({ 611 case (w, f) => Mux(w, f, 0.U) 612 }).reduce(_ | _) 613 val dirtyVs = (0 until CommitWidth).map(i => { 614 val v = io.commits.commitValid(i) 615 val info = io.commits.info(i) 616 v & info.dirtyVs 617 }) 618 val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR 619 val dirty_vs = io.commits.isCommit && VecInit(dirtyVs).asUInt.orR 620 621 val resetVstart = dirty_vs && !io.vstartIsZero 622 623 io.csr.vstart.valid := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstartEn, resetVstart)) 624 io.csr.vstart.bits := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstart, 0.U)) 625 626 val vxsat = Wire(Valid(Bool())) 627 vxsat.valid := io.commits.isCommit && vxsat.bits 628 vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map { 629 case (valid, vxsat) => valid & vxsat 630 }.reduce(_ | _) 631 632 // when mispredict branches writeback, stop commit in the next 2 cycles 633 // TODO: don't check all exu write back 634 val misPredWb = Cat(VecInit(redirectWBs.map(wb => 635 wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid 636 ).toSeq)).orR 637 val misPredBlockCounter = Reg(UInt(3.W)) 638 misPredBlockCounter := Mux(misPredWb, 639 "b111".U, 640 misPredBlockCounter >> 1.U 641 ) 642 val misPredBlock = misPredBlockCounter(0) 643 val deqFlushBlockCounter = Reg(UInt(3.W)) 644 val deqFlushBlock = deqFlushBlockCounter(0) 645 val deqHasFlushed = RegInit(false.B) 646 val deqHasCommitted = io.commits.isCommit && io.commits.commitValid(0) 647 val deqHitRedirectReg = RegNext(io.redirect.valid && io.redirect.bits.robIdx === deqPtr) 648 when(deqNeedFlush && deqHitRedirectReg){ 649 deqFlushBlockCounter := "b111".U 650 }.otherwise{ 651 deqFlushBlockCounter := deqFlushBlockCounter >> 1.U 652 } 653 when(deqHasCommitted){ 654 deqHasFlushed := false.B 655 }.elsewhen(deqNeedFlush && io.flushOut.valid && !io.flushOut.bits.flushItself()){ 656 deqHasFlushed := true.B 657 } 658 val blockCommit = misPredBlock || lastCycleFlush || hasWFI || io.redirect.valid || (deqNeedFlush && !deqHasFlushed) || deqFlushBlock 659 660 io.commits.isWalk := state === s_walk 661 io.commits.isCommit := state === s_idle && !blockCommit 662 663 val walk_v = VecInit(walkingPtrVec.map(ptr => robEntries(ptr.value).valid)) 664 val commit_vDeqGroup = VecInit(robDeqGroup.map(_.commit_v)) 665 val commit_wDeqGroup = VecInit(robDeqGroup.map(_.commit_w)) 666 val realCommitLast = deqPtrVec(0).lineHeadPtr + Fill(bankAddrWidth, 1.U) 667 val commit_block = VecInit((0 until CommitWidth).map(i => !commit_wDeqGroup(i) && !hasCommitted(i))) 668 val allowOnlyOneCommit = VecInit(robDeqGroup.map(x => x.commit_v && x.needFlush)).asUInt.orR || intrBitSetReg 669 // for instructions that may block others, we don't allow them to commit 670 io.commits.commitValid := PriorityMux(commitValidThisLine, (0 until CommitWidth).map(i => (commitValidThisLine.asUInt >> i).asUInt.asTypeOf(io.commits.commitValid))) 671 672 for (i <- 0 until CommitWidth) { 673 // defaults: state === s_idle and instructions commit 674 // when intrBitSetReg, allow only one instruction to commit at each clock cycle 675 val isBlocked = intrEnable || (deqNeedFlush && !deqHasFlushed && !deqHasFlushPipe) 676 val isBlockedByOlder = if (i != 0) commit_block.asUInt(i, 0).orR || allowOnlyOneCommit && !hasCommitted.asUInt(i - 1, 0).andR else false.B 677 commitValidThisLine(i) := commit_vDeqGroup(i) && commit_wDeqGroup(i) && !isBlocked && !isBlockedByOlder && !hasCommitted(i) 678 io.commits.info(i) := commitInfo(i) 679 io.commits.robIdx(i) := deqPtrVec(i) 680 681 io.commits.walkValid(i) := shouldWalkVec(i) 682 when(state === s_walk) { 683 when(io.commits.isWalk && state === s_walk && shouldWalkVec(i)) { 684 XSError(!walk_v(i), s"The walking entry($i) should be valid\n") 685 } 686 } 687 688 XSInfo(io.commits.isCommit && io.commits.commitValid(i), 689 "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n", 690 debug_microOp(deqPtrVec(i).value).pc, 691 io.commits.info(i).rfWen, 692 io.commits.info(i).debug_ldest.getOrElse(0.U), 693 io.commits.info(i).debug_pdest.getOrElse(0.U), 694 debug_exuData(deqPtrVec(i).value), 695 fflagsDataRead(i), 696 vxsatDataRead(i) 697 ) 698 XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n", 699 debug_microOp(walkPtrVec(i).value).pc, 700 io.commits.info(i).rfWen, 701 io.commits.info(i).debug_ldest.getOrElse(0.U), 702 debug_exuData(walkPtrVec(i).value) 703 ) 704 } 705 706 // sync fflags/dirty_fs/vxsat to csr 707 io.csr.fflags := RegNextWithEnable(fflags) 708 io.csr.dirty_fs := GatedValidRegNext(dirty_fs) 709 io.csr.dirty_vs := GatedValidRegNext(dirty_vs) 710 io.csr.vxsat := RegNextWithEnable(vxsat) 711 712 // commit load/store to lsq 713 val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD)) 714 // TODO: Check if meet the require that only set scommit when commit scala store uop 715 val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE && !robEntries(deqPtrVec(i).value).vls )) 716 val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr))) 717 io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U)) 718 io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U)) 719 // indicate a pending load or store 720 io.lsq.pendingUncacheld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).mmio) 721 io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid) 722 // TODO: Check if need deassert pendingst when it is vst 723 io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid) 724 // TODO: Check if set correctly when vector store is at the head of ROB 725 io.lsq.pendingVst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).vls) 726 io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0)) 727 io.lsq.pendingPtr := RegNext(deqPtr) 728 io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head) 729 730 /** 731 * state changes 732 * (1) redirect: switch to s_walk 733 * (2) walk: when walking comes to the end, switch to s_idle 734 */ 735 val state_next = Mux( 736 io.redirect.valid || RegNext(io.redirect.valid), s_walk, 737 Mux( 738 state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle, 739 state 740 ) 741 ) 742 XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle) 743 XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk) 744 XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle) 745 XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk) 746 state := state_next 747 748 /** 749 * pointers and counters 750 */ 751 val deqPtrGenModule = Module(new NewRobDeqPtrWrapper) 752 deqPtrGenModule.io.state := state 753 deqPtrGenModule.io.deq_v := commit_vDeqGroup 754 deqPtrGenModule.io.deq_w := commit_wDeqGroup 755 deqPtrGenModule.io.exception_state := exceptionDataRead 756 deqPtrGenModule.io.intrBitSetReg := intrBitSetReg 757 deqPtrGenModule.io.hasNoSpecExec := hasWaitForward 758 deqPtrGenModule.io.allowOnlyOneCommit := allowOnlyOneCommit 759 deqPtrGenModule.io.interrupt_safe := robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe 760 deqPtrGenModule.io.blockCommit := blockCommit 761 deqPtrGenModule.io.hasCommitted := hasCommitted 762 deqPtrGenModule.io.allCommitted := allCommitted 763 deqPtrVec := deqPtrGenModule.io.out 764 deqPtrVec_next := deqPtrGenModule.io.next_out 765 766 val enqPtrGenModule = Module(new RobEnqPtrWrapper) 767 enqPtrGenModule.io.redirect := io.redirect 768 enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq 769 enqPtrGenModule.io.hasBlockBackward := hasBlockBackward 770 enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop)) 771 enqPtrVec := enqPtrGenModule.io.out 772 773 // next walkPtrVec: 774 // (1) redirect occurs: update according to state 775 // (2) walk: move forwards 776 val deqPtrReadBank = deqPtrVec_next(0).lineHeadPtr 777 val deqPtrVecForWalk = VecInit((0 until CommitWidth).map(i => deqPtrReadBank + i.U)) 778 val snapPtrReadBank = snapshots(io.snpt.snptSelect)(0).lineHeadPtr 779 val snapPtrVecForWalk = VecInit((0 until CommitWidth).map(i => snapPtrReadBank + i.U)) 780 val walkPtrVec_next: Vec[RobPtr] = Mux(io.redirect.valid, 781 Mux(io.snpt.useSnpt, snapPtrVecForWalk, deqPtrVecForWalk), 782 Mux((state === s_walk) && !walkFinished, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec) 783 ) 784 val walkPtrTrue_next: RobPtr = Mux(io.redirect.valid, 785 Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0), deqPtrVec_next(0)), 786 Mux((state === s_walk) && !walkFinished, walkPtrVec_next.head, walkPtrTrue) 787 ) 788 walkPtrHead := walkPtrVec_next.head 789 walkPtrVec := walkPtrVec_next 790 walkPtrTrue := walkPtrTrue_next 791 // T io.redirect.valid, T+1 walkPtrLowBits update, T+2 donotNeedWalk update 792 val walkPtrLowBits = Reg(UInt(bankAddrWidth.W)) 793 when(io.redirect.valid){ 794 walkPtrLowBits := Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0).value(bankAddrWidth-1, 0), deqPtrVec_next(0).value(bankAddrWidth-1, 0)) 795 } 796 when(io.redirect.valid) { 797 donotNeedWalk := Fill(donotNeedWalk.length, true.B).asTypeOf(donotNeedWalk) 798 }.elsewhen(RegNext(io.redirect.valid)){ 799 donotNeedWalk := (0 until CommitWidth).map(i => (i.U < walkPtrLowBits)) 800 }.otherwise{ 801 donotNeedWalk := 0.U.asTypeOf(donotNeedWalk) 802 } 803 walkDestSizeDeqGroup.zip(walkPtrVec_next).map { 804 case (reg, ptrNext) => reg := robEntries(deqPtr.value).realDestSize 805 } 806 val numValidEntries = distanceBetween(enqPtr, deqPtr) 807 val commitCnt = PopCount(io.commits.commitValid) 808 809 allowEnqueue := numValidEntries + dispatchNum <= (RobSize - CommitWidth).U 810 811 val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0)) 812 when(io.redirect.valid) { 813 lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx) 814 } 815 816 817 /** 818 * States 819 * We put all the stage bits changes here. 820 * 821 * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit); 822 * All states: (1) valid; (2) writebacked; (3) flagBkup 823 */ 824 825 val deqPtrGroup = Wire(Vec(2 * CommitWidth, new RobPtr)) 826 deqPtrGroup.zipWithIndex.map { case (deq, i) => deq := deqPtrVec(0) + i.U } 827 val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value))) 828 829 val redirectValidReg = RegNext(io.redirect.valid) 830 val redirectBegin = Reg(UInt(log2Up(RobSize).W)) 831 val redirectEnd = Reg(UInt(log2Up(RobSize).W)) 832 when(io.redirect.valid){ 833 redirectBegin := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx.value - 1.U, io.redirect.bits.robIdx.value) 834 redirectEnd := enqPtr.value 835 } 836 837 // update robEntries valid 838 for (i <- 0 until RobSize) { 839 val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2)) 840 val commitCond = io.commits.isCommit && io.commits.commitValid.zip(deqPtrVec.map(_.value === i.U)).map(x => x._1 && x._2).reduce(_ || _) 841 assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot") 842 val needFlush = redirectValidReg && Mux( 843 redirectEnd > redirectBegin, 844 (i.U > redirectBegin) && (i.U < redirectEnd), 845 (i.U > redirectBegin) || (i.U < redirectEnd) 846 ) 847 when(reset.asBool) { 848 robEntries(i).valid := false.B 849 }.elsewhen(commitCond) { 850 robEntries(i).valid := false.B 851 }.elsewhen(enqOH.asUInt.orR && !io.redirect.valid) { 852 robEntries(i).valid := true.B 853 }.elsewhen(needFlush){ 854 robEntries(i).valid := false.B 855 } 856 } 857 858 // debug_inst update 859 for (i <- 0 until (LduCnt + StaCnt)) { 860 debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i)) 861 debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i)) 862 debug_lsInfo(io.debug_ls.debugLsInfo(i).s3_robIdx).s3SignalEnable(io.debug_ls.debugLsInfo(i)) 863 } 864 for (i <- 0 until LduCnt) { 865 debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i)) 866 debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i)) 867 } 868 869 // status field: writebacked 870 // enqueue logic set 6 writebacked to false 871 for (i <- 0 until RenameWidth) { 872 when(canEnqueue(i)) { 873 val enqHasException = ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec).asUInt.orR 874 val enqTriggerActionIsDebugMode = TriggerAction.isDmode(io.enq.req(i).bits.trigger) 875 val enqIsWritebacked = io.enq.req(i).bits.eliminatedMove 876 val isStu = FuType.isStore(io.enq.req(i).bits.fuType) 877 robEntries(allocatePtrVec(i).value).commitTrigger := enqIsWritebacked && !enqHasException && !enqTriggerActionIsDebugMode && !isStu 878 } 879 } 880 when(exceptionGen.io.out.valid) { 881 val wbIdx = exceptionGen.io.out.bits.robIdx.value 882 robEntries(wbIdx).commitTrigger := true.B 883 } 884 885 // writeback logic set numWbPorts writebacked to true 886 val blockWbSeq = Wire(Vec(exuWBs.length, Bool())) 887 blockWbSeq.map(_ := false.B) 888 for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) { 889 when(wb.valid) { 890 val wbIdx = wb.bits.robIdx.value 891 val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR 892 val wbTriggerActionIsDebugMode = TriggerAction.isDmode(wb.bits.trigger.getOrElse(TriggerAction.None)) 893 val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B) 894 val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst 895 blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbTriggerActionIsDebugMode 896 robEntries(wbIdx).commitTrigger := !blockWb 897 } 898 } 899 900 // if the first uop of an instruction is valid , write writebackedCounter 901 val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid) 902 val instEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid && req.bits.firstUop) 903 val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf) 904 val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value) 905 val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops)) 906 val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB)) 907 val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove)) 908 909 private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map { 910 req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType) 911 }) 912 val fflags_wb = fflagsWBs 913 val vxsat_wb = vxsatWBs 914 for (i <- 0 until RobSize) { 915 916 val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U) 917 val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 918 val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 919 val instCanEnqFlag = Cat(instCanEnqSeq).orR 920 val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid }) 921 when(!robEntries(i).valid && instCanEnqFlag){ 922 robEntries(i).realDestSize := realDestEnqNum 923 }.elsewhen(robEntries(i).valid && Cat(uopCanEnqSeq).orR){ 924 robEntries(i).realDestSize := robEntries(i).realDestSize + realDestEnqNum 925 } 926 val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 927 val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec) 928 val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 929 val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 930 931 val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 932 val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb } 933 val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)) 934 val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits)) 935 936 val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 937 val needFlush = robEntries(i).needFlush 938 val needFlushWriteBack = Wire(Bool()) 939 needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush) 940 when(robEntries(i).valid){ 941 needFlush := needFlush || needFlushWriteBack 942 } 943 944 when(robEntries(i).valid && (needFlush || needFlushWriteBack)) { 945 // exception flush 946 robEntries(i).uopNum := robEntries(i).uopNum - wbCnt 947 robEntries(i).stdWritebacked := true.B 948 }.elsewhen(!robEntries(i).valid && instCanEnqFlag) { 949 // enq set num of uops 950 robEntries(i).uopNum := enqWBNum 951 robEntries(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B) 952 }.elsewhen(robEntries(i).valid) { 953 // update by writing back 954 robEntries(i).uopNum := robEntries(i).uopNum - wbCnt 955 assert(!(robEntries(i).uopNum - wbCnt > robEntries(i).uopNum), s"robEntries $i uopNum is overflow!") 956 when(canStdWbSeq.asUInt.orR) { 957 robEntries(i).stdWritebacked := true.B 958 } 959 } 960 961 val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B)) 962 val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 963 robEntries(i).fflags := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).fflags | fflagsRes) 964 965 val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 966 val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 967 robEntries(i).vxsat := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).vxsat | vxsatRes) 968 969 // trace 970 val taken = branchWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.redirect.get.bits.cfiUpdate.taken).reduce(_ || _) 971 val xret = csrWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && io.csr.isXRet).reduce(_ || _) 972 973 when(xret){ 974 robEntries(i).traceBlockInPipe.itype := Itype.ExpIntReturn 975 }.elsewhen(Itype.isBranchType(robEntries(i).traceBlockInPipe.itype)){ 976 // BranchType code(itype = 5) must be correctly replaced! 977 robEntries(i).traceBlockInPipe.itype := Mux(taken, Itype.Taken, Itype.NonTaken) 978 } 979 } 980 981 // begin update robBanksRdata 982 val robBanksRdata = VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine) 983 val needUpdate = Wire(Vec(2 * CommitWidth, new RobEntryBundle)) 984 needUpdate := VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine) 985 val needUpdateRobIdx = robIdxThisLine ++ robIdxNextLine 986 for (i <- 0 until 2 * CommitWidth) { 987 val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === needUpdateRobIdx(i)) 988 val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 989 val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 990 val instCanEnqFlag = Cat(instCanEnqSeq).orR 991 val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid }) 992 when(!needUpdate(i).valid && instCanEnqFlag) { 993 needUpdate(i).realDestSize := realDestEnqNum 994 }.elsewhen(needUpdate(i).valid && instCanEnqFlag) { 995 needUpdate(i).realDestSize := robBanksRdata(i).realDestSize + realDestEnqNum 996 } 997 val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 998 val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec) 999 val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 1000 val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 1001 1002 val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)) 1003 val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb } 1004 val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))) 1005 val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits)) 1006 1007 val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && (writeback.bits.robIdx.value === needUpdateRobIdx(i))) 1008 val needFlush = robBanksRdata(i).needFlush 1009 val needFlushWriteBack = Wire(Bool()) 1010 needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush) 1011 when(needUpdate(i).valid) { 1012 needUpdate(i).needFlush := needFlush || needFlushWriteBack 1013 } 1014 1015 when(needUpdate(i).valid && (needFlush || needFlushWriteBack)) { 1016 // exception flush 1017 needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt 1018 needUpdate(i).stdWritebacked := true.B 1019 }.elsewhen(!needUpdate(i).valid && instCanEnqFlag) { 1020 // enq set num of uops 1021 needUpdate(i).uopNum := enqWBNum 1022 needUpdate(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B) 1023 }.elsewhen(needUpdate(i).valid) { 1024 // update by writing back 1025 needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt 1026 when(canStdWbSeq.asUInt.orR) { 1027 needUpdate(i).stdWritebacked := true.B 1028 } 1029 } 1030 1031 val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i) && writeback.bits.wflags.getOrElse(false.B)) 1032 val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 1033 needUpdate(i).fflags := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).fflags | fflagsRes) 1034 1035 val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)) 1036 val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 1037 needUpdate(i).vxsat := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).vxsat | vxsatRes) 1038 } 1039 robBanksRdataThisLineUpdate := VecInit(needUpdate.take(8)) 1040 robBanksRdataNextLineUpdate := VecInit(needUpdate.drop(8)) 1041 // end update robBanksRdata 1042 1043 // interrupt_safe 1044 for (i <- 0 until RenameWidth) { 1045 // We RegNext the updates for better timing. 1046 // Note that instructions won't change the system's states in this cycle. 1047 when(RegNext(canEnqueue(i))) { 1048 // For now, we allow non-load-store instructions to trigger interrupts 1049 // For MMIO instructions, they should not trigger interrupts since they may 1050 // be sent to lower level before it writes back. 1051 // However, we cannot determine whether a load/store instruction is MMIO. 1052 // Thus, we don't allow load/store instructions to trigger an interrupt. 1053 // TODO: support non-MMIO load-store instructions to trigger interrupts 1054 val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType) && !FuType.isFence(io.enq.req(i).bits.fuType) && !FuType.isCsr(io.enq.req(i).bits.fuType) 1055 robEntries(RegEnable(allocatePtrVec(i).value, canEnqueue(i))).interrupt_safe := RegEnable(allow_interrupts, canEnqueue(i)) 1056 } 1057 } 1058 1059 /** 1060 * read and write of data modules 1061 */ 1062 val commitReadAddr_next = Mux(state_next === s_idle, 1063 VecInit(deqPtrVec_next.map(_.value)), 1064 VecInit(walkPtrVec_next.map(_.value)) 1065 ) 1066 1067 exceptionGen.io.redirect <> io.redirect 1068 exceptionGen.io.flush := io.flushOut.valid 1069 1070 val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept)) 1071 for (i <- 0 until RenameWidth) { 1072 exceptionGen.io.enq(i).valid := canEnqueueEG(i) 1073 exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx 1074 exceptionGen.io.enq(i).bits.ftqPtr := io.enq.req(i).bits.ftqPtr 1075 exceptionGen.io.enq(i).bits.ftqOffset := io.enq.req(i).bits.ftqOffset 1076 exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec) 1077 exceptionGen.io.enq(i).bits.hasException := io.enq.req(i).bits.hasException 1078 exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe 1079 exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset 1080 exceptionGen.io.enq(i).bits.replayInst := false.B 1081 XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst") 1082 exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep 1083 exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix 1084 exceptionGen.io.enq(i).bits.trigger := io.enq.req(i).bits.trigger 1085 exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare 1086 exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare 1087 } 1088 1089 println(s"ExceptionGen:") 1090 println(s"num of exceptions: ${params.numException}") 1091 require(exceptionWBs.length == exceptionGen.io.wb.length, 1092 f"exceptionWBs.length: ${exceptionWBs.length}, " + 1093 f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}") 1094 for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) { 1095 exc_wb.valid := wb.valid 1096 exc_wb.bits.robIdx := wb.bits.robIdx 1097 // only enq inst use ftqPtr to read gpa 1098 exc_wb.bits.ftqPtr := 0.U.asTypeOf(exc_wb.bits.ftqPtr) 1099 exc_wb.bits.ftqOffset := 0.U.asTypeOf(exc_wb.bits.ftqOffset) 1100 exc_wb.bits.exceptionVec := wb.bits.exceptionVec.get 1101 exc_wb.bits.hasException := wb.bits.exceptionVec.get.asUInt.orR // Todo: use io.writebackNeedFlush(i) instead 1102 exc_wb.bits.flushPipe := wb.bits.flushPipe.getOrElse(false.B) 1103 exc_wb.bits.isVset := false.B 1104 exc_wb.bits.replayInst := wb.bits.replay.getOrElse(false.B) 1105 exc_wb.bits.singleStep := false.B 1106 exc_wb.bits.crossPageIPFFix := false.B 1107 // TODO: make trigger configurable 1108 val trigger = wb.bits.trigger.getOrElse(0.U).asTypeOf(exc_wb.bits.trigger) 1109 exc_wb.bits.trigger := trigger 1110 exc_wb.bits.vstartEn := false.B //wb.bits.vstartEn.getOrElse(false.B) // todo need add vstart in ExuOutput 1111 exc_wb.bits.vstart := 0.U //wb.bits.vstart.getOrElse(0.U) 1112 // println(s" [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " + 1113 // s"flushPipe ${configs.exists(_.flushPipe)}, " + 1114 // s"replayInst ${configs.exists(_.replayInst)}") 1115 } 1116 1117 fflagsDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).fflags) 1118 vxsatDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).vxsat) 1119 1120 val instrCntReg = RegInit(0.U(64.W)) 1121 val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) }) 1122 val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt 1123 val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U) 1124 val instrCnt = instrCntReg + retireCounter 1125 instrCntReg := instrCnt 1126 io.csr.perfinfo.retiredInstr := retireCounter 1127 io.robFull := !allowEnqueue 1128 io.headNotReady := commit_vDeqGroup.head && !commit_wDeqGroup.head 1129 1130 /** 1131 * debug info 1132 */ 1133 XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n") 1134 XSDebug("") 1135 XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n") 1136 for (i <- 0 until RobSize) { 1137 XSDebug(false, !robEntries(i).valid, "-") 1138 XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w") 1139 XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v") 1140 } 1141 XSDebug(false, true.B, "\n") 1142 1143 for (i <- 0 until RobSize) { 1144 if (i % 4 == 0) XSDebug("") 1145 XSDebug(false, true.B, "%x ", debug_microOp(i).pc) 1146 XSDebug(false, !robEntries(i).valid, "- ") 1147 XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w ") 1148 XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v ") 1149 if (i % 4 == 3) XSDebug(false, true.B, "\n") 1150 } 1151 1152 def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U) 1153 1154 def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U) 1155 1156 val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_)) 1157 XSPerfAccumulate("clock_cycle", 1.U) 1158 QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U) 1159 XSPerfAccumulate("commitUop", ifCommit(commitCnt)) 1160 XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt)) 1161 XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset) 1162 XSPerfRolling("cpi", perfCnt = 1.U /*Cycle*/ , eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset) 1163 val commitIsMove = commitInfo.map(_.isMove) 1164 XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m }))) 1165 val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove) 1166 XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e }))) 1167 XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt)) 1168 val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD) 1169 val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map { case (v, t) => v && t } 1170 XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid))) 1171 val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH) 1172 val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map { case (v, t) => v && t } 1173 XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid))) 1174 val commitLoadWaitBit = commitInfo.map(_.loadWaitBit) 1175 XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w }))) 1176 val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE) 1177 XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t }))) 1178 XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => robEntries(i).valid && robEntries(i).isWritebacked))) 1179 // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire))) 1180 // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready))) 1181 XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)) 1182 XSPerfAccumulate("walkCycleTotal", state === s_walk) 1183 XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd) 1184 private val walkCycle = RegInit(0.U(8.W)) 1185 private val waitRabWalkCycle = RegInit(0.U(8.W)) 1186 walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1187 waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1188 1189 XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32) 1190 XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32) 1191 XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32) 1192 1193 private val deqNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isWritebacked 1194 private val deqStdNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).stdWritebacked 1195 private val deqUopNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isUopWritebacked 1196 private val deqHeadInfo = debug_microOp(deqPtr.value) 1197 val deqUopCommitType = debug_microOp(deqPtr.value).commitType 1198 1199 XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U) 1200 XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U) 1201 XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U) 1202 XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U) 1203 XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U) 1204 XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U) 1205 XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U) 1206 XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U) 1207 XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U) 1208 XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1209 XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1210 XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1211 XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U) 1212 1213 XSPerfAccumulate("waitVfaluCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfalu.U) 1214 XSPerfAccumulate("waitVfmaCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfma.U) 1215 XSPerfAccumulate("waitVfdivCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfdiv.U) 1216 1217 val vfalufuop = Seq(VfaluType.vfadd, VfaluType.vfwadd, VfaluType.vfwadd_w, VfaluType.vfsub, VfaluType.vfwsub, VfaluType.vfwsub_w, VfaluType.vfmin, VfaluType.vfmax, 1218 VfaluType.vfmerge, VfaluType.vfmv, VfaluType.vfsgnj, VfaluType.vfsgnjn, VfaluType.vfsgnjx, VfaluType.vfeq, VfaluType.vfne, VfaluType.vflt, VfaluType.vfle, VfaluType.vfgt, 1219 VfaluType.vfge, VfaluType.vfclass, VfaluType.vfmv_f_s, VfaluType.vfmv_s_f, VfaluType.vfredusum, VfaluType.vfredmax, VfaluType.vfredmin, VfaluType.vfredosum, VfaluType.vfwredosum) 1220 1221 vfalufuop.zipWithIndex.map{ 1222 case(fuoptype,i) => XSPerfAccumulate(s"waitVfalu_${i}Cycle", deqStdNotWritebacked && deqHeadInfo.fuOpType === fuoptype && deqHeadInfo.fuType === FuType.vfalu.U) 1223 } 1224 1225 1226 1227 XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL) 1228 XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH) 1229 XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD) 1230 XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE) 1231 XSPerfAccumulate("robHeadPC", io.commits.info(0).debug_pc.getOrElse(0.U)) 1232 XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U })) 1233 (2 to RenameWidth).foreach(i => 1234 XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize === i.U })) 1235 ) 1236 XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _)) 1237 val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime) 1238 val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime) 1239 val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime) 1240 val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime) 1241 val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime) 1242 val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime) 1243 val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime) 1244 1245 def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = { 1246 cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _) 1247 } 1248 1249 for (fuType <- FuType.functionNameMap.keys) { 1250 val fuName = FuType.functionNameMap(fuType) 1251 val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U) 1252 XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset) 1253 XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType))) 1254 XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency))) 1255 XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency))) 1256 XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency))) 1257 XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency))) 1258 XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency))) 1259 XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency))) 1260 XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency))) 1261 } 1262 XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt) 1263 1264 // top-down info 1265 io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid 1266 io.debugTopDown.toCore.robHeadVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits 1267 io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid 1268 io.debugTopDown.toCore.robHeadPaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits 1269 io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt) 1270 io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value) 1271 io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value) 1272 io.debugTopDown.robHeadLqIdx.bits := debug_microOp(deqPtr.value).lqIdx 1273 1274 // rolling 1275 io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt) 1276 1277 /** 1278 * DataBase info: 1279 * log trigger is at writeback valid 1280 * */ 1281 1282 /** 1283 * @todo add InstInfoEntry back 1284 * @author Maxpicca-Li 1285 */ 1286 1287 //difftest signals 1288 val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value 1289 1290 val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1291 val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1292 1293 for (i <- 0 until CommitWidth) { 1294 val idx = deqPtrVec(i).value 1295 wdata(i) := debug_exuData(idx) 1296 wpc(i) := SignExt(commitDebugUop(i).pc, XLEN) 1297 } 1298 1299 if (env.EnableDifftest || env.AlwaysBasicDiff) { 1300 // These are the structures used by difftest only and should be optimized after synthesis. 1301 val dt_eliminatedMove = Mem(RobSize, Bool()) 1302 val dt_isRVC = Mem(RobSize, Bool()) 1303 val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle)) 1304 for (i <- 0 until RenameWidth) { 1305 when(canEnqueue(i)) { 1306 dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove 1307 dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC 1308 } 1309 } 1310 for (wb <- exuWBs) { 1311 when(wb.valid) { 1312 val wbIdx = wb.bits.robIdx.value 1313 dt_exuDebug(wbIdx) := wb.bits.debug 1314 } 1315 } 1316 // Always instantiate basic difftest modules. 1317 for (i <- 0 until CommitWidth) { 1318 val uop = commitDebugUop(i) 1319 val commitInfo = io.commits.info(i) 1320 val ptr = deqPtrVec(i).value 1321 val exuOut = dt_exuDebug(ptr) 1322 val eliminatedMove = dt_eliminatedMove(ptr) 1323 val isRVC = dt_isRVC(ptr) 1324 1325 val difftest = DifftestModule(new DiffInstrCommit(MaxPhyPregs), delay = 3, dontCare = true) 1326 val dt_skip = Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt) 1327 difftest.coreid := io.hartId 1328 difftest.index := i.U 1329 difftest.valid := io.commits.commitValid(i) && io.commits.isCommit 1330 difftest.skip := dt_skip 1331 difftest.isRVC := isRVC 1332 difftest.rfwen := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.debug_ldest.get =/= 0.U 1333 difftest.fpwen := io.commits.commitValid(i) && uop.fpWen 1334 difftest.wpdest := commitInfo.debug_pdest.get 1335 difftest.wdest := commitInfo.debug_ldest.get 1336 difftest.nFused := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U 1337 when(difftest.valid) { 1338 assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U) 1339 } 1340 if (env.EnableDifftest) { 1341 val uop = commitDebugUop(i) 1342 difftest.pc := SignExt(uop.pc, XLEN) 1343 difftest.instr := uop.instr 1344 difftest.robIdx := ZeroExt(ptr, 10) 1345 difftest.lqIdx := ZeroExt(uop.lqIdx.value, 7) 1346 difftest.sqIdx := ZeroExt(uop.sqIdx.value, 7) 1347 difftest.isLoad := io.commits.info(i).commitType === CommitType.LOAD 1348 difftest.isStore := io.commits.info(i).commitType === CommitType.STORE 1349 // Check LoadEvent only when isAmo or isLoad and skip MMIO 1350 val difftestLoadEvent = DifftestModule(new DiffLoadEvent, delay = 3) 1351 difftestLoadEvent.coreid := io.hartId 1352 difftestLoadEvent.index := i.U 1353 val loadCheck = (FuType.isAMO(uop.fuType) || FuType.isLoad(uop.fuType)) && !dt_skip 1354 difftestLoadEvent.valid := io.commits.commitValid(i) && io.commits.isCommit && loadCheck 1355 difftestLoadEvent.paddr := exuOut.paddr 1356 difftestLoadEvent.opType := uop.fuOpType 1357 difftestLoadEvent.isAtomic := FuType.isAMO(uop.fuType) 1358 difftestLoadEvent.isLoad := FuType.isLoad(uop.fuType) 1359 } 1360 } 1361 } 1362 1363 if (env.EnableDifftest || env.AlwaysBasicDiff) { 1364 val dt_isXSTrap = Mem(RobSize, Bool()) 1365 for (i <- 0 until RenameWidth) { 1366 when(canEnqueue(i)) { 1367 dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap 1368 } 1369 } 1370 val trapVec = io.commits.commitValid.zip(deqPtrVec).map { case (v, d) => 1371 io.commits.isCommit && v && dt_isXSTrap(d.value) 1372 } 1373 val hitTrap = trapVec.reduce(_ || _) 1374 val difftest = DifftestModule(new DiffTrapEvent, dontCare = true) 1375 difftest.coreid := io.hartId 1376 difftest.hasTrap := hitTrap 1377 difftest.cycleCnt := timer 1378 difftest.instrCnt := instrCnt 1379 difftest.hasWFI := hasWFI 1380 1381 if (env.EnableDifftest) { 1382 val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1)) 1383 val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 -> x._1)), XLEN) 1384 difftest.code := trapCode 1385 difftest.pc := trapPC 1386 } 1387 } 1388 1389 val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(robEntries.map(_.valid).drop(i * 32).take(32)))) 1390 val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _)) 1391 val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m }) 1392 val commitLoadVec = VecInit(commitLoadValid) 1393 val commitBranchVec = VecInit(commitBranchValid) 1394 val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w }) 1395 val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t }) 1396 val perfEvents = Seq( 1397 ("rob_interrupt_num ", io.flushOut.valid && intrEnable), 1398 ("rob_exception_num ", io.flushOut.valid && deqHasException), 1399 ("rob_flush_pipe_num ", io.flushOut.valid && isFlushPipe), 1400 ("rob_replay_inst_num ", io.flushOut.valid && isFlushPipe && deqHasReplayInst), 1401 ("rob_commitUop ", ifCommit(commitCnt)), 1402 ("rob_commitInstr ", ifCommitReg(trueCommitCnt)), 1403 ("rob_commitInstrMove ", ifCommitReg(PopCount(RegNext(commitMoveVec)))), 1404 ("rob_commitInstrFused ", ifCommitReg(fuseCommitCnt)), 1405 ("rob_commitInstrLoad ", ifCommitReg(PopCount(RegNext(commitLoadVec)))), 1406 ("rob_commitInstrBranch ", ifCommitReg(PopCount(RegNext(commitBranchVec)))), 1407 ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec)))), 1408 ("rob_commitInstrStore ", ifCommitReg(PopCount(RegNext(commitStoreVec)))), 1409 ("rob_walkInstr ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)), 1410 ("rob_walkCycle ", (state === s_walk)), 1411 ("rob_1_4_valid ", validEntries <= (RobSize / 4).U), 1412 ("rob_2_4_valid ", validEntries > (RobSize / 4).U && validEntries <= (RobSize / 2).U), 1413 ("rob_3_4_valid ", validEntries > (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U), 1414 ("rob_4_4_valid ", validEntries > (RobSize * 3 / 4).U), 1415 ) 1416 generatePerfEvent() 1417 1418 // dontTouch for debug 1419 if (backendParams.debugEn) { 1420 dontTouch(enqPtrVec) 1421 dontTouch(deqPtrVec) 1422 dontTouch(robEntries) 1423 dontTouch(robDeqGroup) 1424 dontTouch(robBanks) 1425 dontTouch(robBanksRaddrThisLine) 1426 dontTouch(robBanksRaddrNextLine) 1427 dontTouch(robBanksRdataThisLine) 1428 dontTouch(robBanksRdataNextLine) 1429 dontTouch(robBanksRdataThisLineUpdate) 1430 dontTouch(robBanksRdataNextLineUpdate) 1431 dontTouch(needUpdate) 1432 val exceptionWBsVec = MixedVecInit(exceptionWBs) 1433 dontTouch(exceptionWBsVec) 1434 dontTouch(commit_wDeqGroup) 1435 dontTouch(commit_vDeqGroup) 1436 dontTouch(commitSizeSumSeq) 1437 dontTouch(walkSizeSumSeq) 1438 dontTouch(commitSizeSumCond) 1439 dontTouch(walkSizeSumCond) 1440 dontTouch(commitSizeSum) 1441 dontTouch(walkSizeSum) 1442 dontTouch(realDestSizeSeq) 1443 dontTouch(walkDestSizeSeq) 1444 dontTouch(io.commits) 1445 dontTouch(commitIsVTypeVec) 1446 dontTouch(walkIsVTypeVec) 1447 dontTouch(commitValidThisLine) 1448 dontTouch(commitReadAddr_next) 1449 dontTouch(donotNeedWalk) 1450 dontTouch(walkPtrVec_next) 1451 dontTouch(walkPtrVec) 1452 dontTouch(deqPtrVec_next) 1453 dontTouch(deqPtrVecForWalk) 1454 dontTouch(snapPtrReadBank) 1455 dontTouch(snapPtrVecForWalk) 1456 dontTouch(shouldWalkVec) 1457 dontTouch(walkFinished) 1458 dontTouch(changeBankAddrToDeqPtr) 1459 } 1460 if (env.EnableDifftest) { 1461 io.commits.info.map(info => dontTouch(info.debug_pc.get)) 1462 } 1463} 1464