1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.backend.rob 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import difftest._ 23import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 24import utility._ 25import utils._ 26import xiangshan._ 27import xiangshan.backend.BackendParams 28import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 29import xiangshan.backend.fu.FuType 30import xiangshan.frontend.FtqPtr 31import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 32import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 33import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo} 34import xiangshan.backend.rename.SnapshotGenerator 35 36class RobPtr(entries: Int) extends CircularQueuePtr[RobPtr]( 37 entries 38) with HasCircularQueuePtrHelper { 39 40 def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).RobSize) 41 42 def needFlush(redirect: Valid[Redirect]): Bool = { 43 val flushItself = redirect.bits.flushItself() && this === redirect.bits.robIdx 44 redirect.valid && (flushItself || isAfter(this, redirect.bits.robIdx)) 45 } 46 47 def needFlush(redirect: Seq[Valid[Redirect]]): Bool = VecInit(redirect.map(needFlush)).asUInt.orR 48} 49 50object RobPtr { 51 def apply(f: Bool, v: UInt)(implicit p: Parameters): RobPtr = { 52 val ptr = Wire(new RobPtr) 53 ptr.flag := f 54 ptr.value := v 55 ptr 56 } 57} 58 59class RobCSRIO(implicit p: Parameters) extends XSBundle { 60 val intrBitSet = Input(Bool()) 61 val trapTarget = Input(UInt(VAddrBits.W)) 62 val isXRet = Input(Bool()) 63 val wfiEvent = Input(Bool()) 64 65 val fflags = Output(Valid(UInt(5.W))) 66 val vxsat = Output(Valid(Bool())) 67 val dirty_fs = Output(Bool()) 68 val perfinfo = new Bundle { 69 val retiredInstr = Output(UInt(3.W)) 70 } 71 72 val vcsrFlag = Output(Bool()) 73} 74 75class RobLsqIO(implicit p: Parameters) extends XSBundle { 76 val lcommit = Output(UInt(log2Up(CommitWidth + 1).W)) 77 val scommit = Output(UInt(log2Up(CommitWidth + 1).W)) 78 val pendingld = Output(Bool()) 79 val pendingst = Output(Bool()) 80 val commit = Output(Bool()) 81 val pendingPtr = Output(new RobPtr) 82 83 val mmio = Input(Vec(LoadPipelineWidth, Bool())) 84 val uop = Input(Vec(LoadPipelineWidth, new DynInst)) 85} 86 87class RobEnqIO(implicit p: Parameters) extends XSBundle { 88 val canAccept = Output(Bool()) 89 val isEmpty = Output(Bool()) 90 // valid vector, for robIdx gen and walk 91 val needAlloc = Vec(RenameWidth, Input(Bool())) 92 val req = Vec(RenameWidth, Flipped(ValidIO(new DynInst))) 93 val resp = Vec(RenameWidth, Output(new RobPtr)) 94} 95 96class RobDispatchData(implicit p: Parameters) extends RobCommitInfo 97 98class RobDeqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 99 val io = IO(new Bundle { 100 // for commits/flush 101 val state = Input(UInt(2.W)) 102 val deq_v = Vec(CommitWidth, Input(Bool())) 103 val deq_w = Vec(CommitWidth, Input(Bool())) 104 val exception_state = Flipped(ValidIO(new RobExceptionInfo)) 105 // for flush: when exception occurs, reset deqPtrs to range(0, CommitWidth) 106 val intrBitSetReg = Input(Bool()) 107 val hasNoSpecExec = Input(Bool()) 108 val interrupt_safe = Input(Bool()) 109 val blockCommit = Input(Bool()) 110 // output: the CommitWidth deqPtr 111 val out = Vec(CommitWidth, Output(new RobPtr)) 112 val next_out = Vec(CommitWidth, Output(new RobPtr)) 113 }) 114 115 val deqPtrVec = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new RobPtr)))) 116 117 // for exceptions (flushPipe included) and interrupts: 118 // only consider the first instruction 119 val intrEnable = io.intrBitSetReg && !io.hasNoSpecExec && io.interrupt_safe 120 val exceptionEnable = io.deq_w(0) && io.exception_state.valid && io.exception_state.bits.not_commit && io.exception_state.bits.robIdx === deqPtrVec(0) 121 val redirectOutValid = io.state === 0.U && io.deq_v(0) && (intrEnable || exceptionEnable) 122 123 // for normal commits: only to consider when there're no exceptions 124 // we don't need to consider whether the first instruction has exceptions since it wil trigger exceptions. 125 val commit_exception = io.exception_state.valid && !isAfter(io.exception_state.bits.robIdx, deqPtrVec.last) 126 val canCommit = VecInit((0 until CommitWidth).map(i => io.deq_v(i) && io.deq_w(i))) 127 val normalCommitCnt = PriorityEncoder(canCommit.map(c => !c) :+ true.B) 128 // when io.intrBitSetReg or there're possible exceptions in these instructions, 129 // only one instruction is allowed to commit 130 val allowOnlyOne = commit_exception || io.intrBitSetReg 131 val commitCnt = Mux(allowOnlyOne, canCommit(0), normalCommitCnt) 132 133 val commitDeqPtrVec = VecInit(deqPtrVec.map(_ + commitCnt)) 134 val deqPtrVec_next = Mux(io.state === 0.U && !redirectOutValid && !io.blockCommit, commitDeqPtrVec, deqPtrVec) 135 136 deqPtrVec := deqPtrVec_next 137 138 io.next_out := deqPtrVec_next 139 io.out := deqPtrVec 140 141 when (io.state === 0.U) { 142 XSInfo(io.state === 0.U && commitCnt > 0.U, "retired %d insts\n", commitCnt) 143 } 144 145} 146 147class RobEnqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 148 val io = IO(new Bundle { 149 // for input redirect 150 val redirect = Input(Valid(new Redirect)) 151 // for enqueue 152 val allowEnqueue = Input(Bool()) 153 val hasBlockBackward = Input(Bool()) 154 val enq = Vec(RenameWidth, Input(Bool())) 155 val out = Output(Vec(RenameWidth, new RobPtr)) 156 }) 157 158 val enqPtrVec = RegInit(VecInit.tabulate(RenameWidth)(_.U.asTypeOf(new RobPtr))) 159 160 // enqueue 161 val canAccept = io.allowEnqueue && !io.hasBlockBackward 162 val dispatchNum = Mux(canAccept, PopCount(io.enq), 0.U) 163 164 for ((ptr, i) <- enqPtrVec.zipWithIndex) { 165 when(io.redirect.valid) { 166 ptr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U) 167 }.otherwise { 168 ptr := ptr + dispatchNum 169 } 170 } 171 172 io.out := enqPtrVec 173 174} 175 176class RobExceptionInfo(implicit p: Parameters) extends XSBundle { 177 // val valid = Bool() 178 val robIdx = new RobPtr 179 val exceptionVec = ExceptionVec() 180 val flushPipe = Bool() 181 val isVset = Bool() 182 val replayInst = Bool() // redirect to that inst itself 183 val singleStep = Bool() // TODO add frontend hit beneath 184 val crossPageIPFFix = Bool() 185 val trigger = new TriggerCf 186 187// def trigger_before = !trigger.getTimingBackend && trigger.getHitBackend 188// def trigger_after = trigger.getTimingBackend && trigger.getHitBackend 189 def has_exception = exceptionVec.asUInt.orR || flushPipe || singleStep || replayInst || trigger.hit 190 def not_commit = exceptionVec.asUInt.orR || singleStep || replayInst || trigger.hit 191 // only exceptions are allowed to writeback when enqueue 192 def can_writeback = exceptionVec.asUInt.orR || singleStep || trigger.hit 193} 194 195class ExceptionGen(params: BackendParams)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 196 val io = IO(new Bundle { 197 val redirect = Input(Valid(new Redirect)) 198 val flush = Input(Bool()) 199 val enq = Vec(RenameWidth, Flipped(ValidIO(new RobExceptionInfo))) 200 // csr + load + store 201 val wb = Vec(params.numException, Flipped(ValidIO(new RobExceptionInfo))) 202 val out = ValidIO(new RobExceptionInfo) 203 val state = ValidIO(new RobExceptionInfo) 204 }) 205 206 def getOldest(valid: Seq[Bool], bits: Seq[RobExceptionInfo]): (Seq[Bool], Seq[RobExceptionInfo]) = { 207 assert(valid.length == bits.length) 208 assert(isPow2(valid.length)) 209 if (valid.length == 1) { 210 (valid, bits) 211 } else if (valid.length == 2) { 212 val res = Seq.fill(2)(Wire(ValidIO(chiselTypeOf(bits(0))))) 213 for (i <- res.indices) { 214 res(i).valid := valid(i) 215 res(i).bits := bits(i) 216 } 217 val oldest = Mux(!valid(1) || valid(0) && isAfter(bits(1).robIdx, bits(0).robIdx), res(0), res(1)) 218 (Seq(oldest.valid), Seq(oldest.bits)) 219 } else { 220 val left = getOldest(valid.take(valid.length / 2), bits.take(valid.length / 2)) 221 val right = getOldest(valid.takeRight(valid.length / 2), bits.takeRight(valid.length / 2)) 222 getOldest(left._1 ++ right._1, left._2 ++ right._2) 223 } 224 } 225 226 val currentValid = RegInit(false.B) 227 val current = Reg(new RobExceptionInfo) 228 229 // orR the exceptionVec 230 val lastCycleFlush = RegNext(io.flush) 231 val in_enq_valid = VecInit(io.enq.map(e => e.valid && e.bits.has_exception && !lastCycleFlush)) 232 val in_wb_valid = io.wb.map(w => w.valid && w.bits.has_exception && !lastCycleFlush) 233 234 // s0: compare wb(1)~wb(LoadPipelineWidth) and wb(1 + LoadPipelineWidth)~wb(LoadPipelineWidth + StorePipelineWidth) 235 val wb_valid = in_wb_valid.zip(io.wb.map(_.bits)).map{ case (v, bits) => v && !(bits.robIdx.needFlush(io.redirect) || io.flush) } 236 val csr_wb_bits = io.wb(0).bits 237 val load_wb_bits = getOldest(in_wb_valid.slice(1, 1 + LoadPipelineWidth), io.wb.map(_.bits).slice(1, 1 + LoadPipelineWidth))._2(0) 238 val store_wb_bits = getOldest(in_wb_valid.slice(1 + LoadPipelineWidth, 1 + LoadPipelineWidth + StorePipelineWidth), io.wb.map(_.bits).slice(1 + LoadPipelineWidth, 1 + LoadPipelineWidth + StorePipelineWidth))._2(0) 239 val s0_out_valid = RegNext(VecInit(Seq(wb_valid(0), wb_valid.slice(1, 1 + LoadPipelineWidth).reduce(_ || _), wb_valid.slice(1 + LoadPipelineWidth, 1 + LoadPipelineWidth + StorePipelineWidth).reduce(_ || _)))) 240 val s0_out_bits = RegNext(VecInit(Seq(csr_wb_bits, load_wb_bits, store_wb_bits))) 241 242 // s1: compare last four and current flush 243 val s1_valid = VecInit(s0_out_valid.zip(s0_out_bits).map{ case (v, b) => v && !(b.robIdx.needFlush(io.redirect) || io.flush) }) 244 val compare_01_valid = s0_out_valid(0) || s0_out_valid(1) 245 val compare_01_bits = Mux(!s0_out_valid(0) || s0_out_valid(1) && isAfter(s0_out_bits(0).robIdx, s0_out_bits(1).robIdx), s0_out_bits(1), s0_out_bits(0)) 246 val compare_bits = Mux(!s0_out_valid(2) || compare_01_valid && isAfter(s0_out_bits(2).robIdx, compare_01_bits.robIdx), compare_01_bits, s0_out_bits(2)) 247 val s1_out_bits = RegNext(compare_bits) 248 val s1_out_valid = RegNext(s1_valid.asUInt.orR) 249 250 val enq_valid = RegNext(in_enq_valid.asUInt.orR && !io.redirect.valid && !io.flush) 251 val enq_bits = RegNext(ParallelPriorityMux(in_enq_valid, io.enq.map(_.bits))) 252 253 // s2: compare the input exception with the current one 254 // priorities: 255 // (1) system reset 256 // (2) current is valid: flush, remain, merge, update 257 // (3) current is not valid: s1 or enq 258 val current_flush = current.robIdx.needFlush(io.redirect) || io.flush 259 val s1_flush = s1_out_bits.robIdx.needFlush(io.redirect) || io.flush 260 when (currentValid) { 261 when (current_flush) { 262 currentValid := Mux(s1_flush, false.B, s1_out_valid) 263 } 264 when (s1_out_valid && !s1_flush) { 265 when (isAfter(current.robIdx, s1_out_bits.robIdx)) { 266 current := s1_out_bits 267 }.elsewhen (current.robIdx === s1_out_bits.robIdx) { 268 current.exceptionVec := (s1_out_bits.exceptionVec.asUInt | current.exceptionVec.asUInt).asTypeOf(ExceptionVec()) 269 current.flushPipe := s1_out_bits.flushPipe || current.flushPipe 270 current.replayInst := s1_out_bits.replayInst || current.replayInst 271 current.singleStep := s1_out_bits.singleStep || current.singleStep 272 current.trigger := (s1_out_bits.trigger.asUInt | current.trigger.asUInt).asTypeOf(new TriggerCf) 273 } 274 } 275 }.elsewhen (s1_out_valid && !s1_flush) { 276 currentValid := true.B 277 current := s1_out_bits 278 }.elsewhen (enq_valid && !(io.redirect.valid || io.flush)) { 279 currentValid := true.B 280 current := enq_bits 281 } 282 283 io.out.valid := s1_out_valid || enq_valid && enq_bits.can_writeback 284 io.out.bits := Mux(s1_out_valid, s1_out_bits, enq_bits) 285 io.state.valid := currentValid 286 io.state.bits := current 287 288} 289 290class RobFlushInfo(implicit p: Parameters) extends XSBundle { 291 val ftqIdx = new FtqPtr 292 val robIdx = new RobPtr 293 val ftqOffset = UInt(log2Up(PredictWidth).W) 294 val replayInst = Bool() 295} 296 297class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 298 299 lazy val module = new RobImp(this)(p, params) 300} 301 302class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper) 303 with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents { 304 305 private val LduCnt = params.LduCnt 306 private val StaCnt = params.StaCnt 307 308 val io = IO(new Bundle() { 309 val hartId = Input(UInt(8.W)) 310 val redirect = Input(Valid(new Redirect)) 311 val enq = new RobEnqIO 312 val flushOut = ValidIO(new Redirect) 313 val exception = ValidIO(new ExceptionInfo) 314 // exu + brq 315 val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles) 316 val commits = Output(new RobCommitIO) 317 val rabCommits = Output(new RobCommitIO) 318 val diffCommits = Output(new DiffCommitIO) 319 val isVsetFlushPipe = Output(Bool()) 320 val vconfigPdest = Output(UInt(PhyRegIdxWidth.W)) 321 val lsq = new RobLsqIO 322 val robDeqPtr = Output(new RobPtr) 323 val csr = new RobCSRIO 324 val snpt = Input(new SnapshotPort) 325 val robFull = Output(Bool()) 326 val headNotReady = Output(Bool()) 327 val cpu_halt = Output(Bool()) 328 val wfi_enable = Input(Bool()) 329 val debug_ls = Flipped(new DebugLSIO) 330 val debugRobHead = Output(new DynInst) 331 val debugEnqLsq = Input(new LsqEnqIO) 332 val debugHeadLsIssue = Input(Bool()) 333 val lsTopdownInfo = Vec(LduCnt, Input(new LsTopdownInfo)) 334 }) 335 336 val exuWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(!_.bits.params.hasStdFu) 337 val stdWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(_.bits.params.hasStdFu) 338 val fflagsWBs = io.writeback.filter(x => x.bits.fflags.nonEmpty) 339 val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty) 340 val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty) 341 342 val exuWbPorts = io.writeback.filter(!_.bits.params.hasStdFu) 343 val stdWbPorts = io.writeback.filter(_.bits.params.hasStdFu) 344 val fflagsPorts = io.writeback.filter(x => x.bits.fflags.nonEmpty) 345 val vxsatPorts = io.writeback.filter(x => x.bits.vxsat.nonEmpty) 346 val exceptionPorts = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty) 347 val numExuWbPorts = exuWBs.length 348 val numStdWbPorts = stdWBs.length 349 350 351 println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth") 352// println(s"exuPorts: ${exuWbPorts.map(_._1.map(_.name))}") 353// println(s"stdPorts: ${stdWbPorts.map(_._1.map(_.name))}") 354// println(s"fflags: ${fflagsPorts.map(_._1.map(_.name))}") 355 356 357 // instvalid field 358 val valid = RegInit(VecInit(Seq.fill(RobSize)(false.B))) 359 // writeback status 360 361 val stdWritebacked = Reg(Vec(RobSize, Bool())) 362 val uopNumVec = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W)))) 363 val realDestSize = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W)))) 364 val fflagsDataModule = RegInit(VecInit(Seq.fill(RobSize)(0.U(5.W)))) 365 val vxsatDataModule = RegInit(VecInit(Seq.fill(RobSize)(false.B))) 366 367 def isWritebacked(ptr: UInt): Bool = { 368 !uopNumVec(ptr).orR && stdWritebacked(ptr) 369 } 370 371 val mmio = RegInit(VecInit(Seq.fill(RobSize)(false.B))) 372 373 // data for redirect, exception, etc. 374 val flagBkup = Mem(RobSize, Bool()) 375 // some instructions are not allowed to trigger interrupts 376 // They have side effects on the states of the processor before they write back 377 val interrupt_safe = Mem(RobSize, Bool()) 378 379 // data for debug 380 // Warn: debug_* prefix should not exist in generated verilog. 381 val debug_microOp = Mem(RobSize, new DynInst) 382 val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W)))//for debug 383 val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle))//for debug 384 val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init))) 385 val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init))) 386 val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B)) 387 val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B)) 388 389 // pointers 390 // For enqueue ptr, we don't duplicate it since only enqueue needs it. 391 val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr)) 392 val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 393 394 val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr)) 395 val lastWalkPtr = Reg(new RobPtr) 396 val allowEnqueue = RegInit(true.B) 397 398 val enqPtr = enqPtrVec.head 399 val deqPtr = deqPtrVec(0) 400 val walkPtr = walkPtrVec(0) 401 402 val isEmpty = enqPtr === deqPtr 403 val isReplaying = io.redirect.valid && RedirectLevel.flushItself(io.redirect.bits.level) 404 405 val snptEnq = io.enq.canAccept && io.enq.req.head.valid && io.enq.req.head.bits.snapshot 406 val snapshots = SnapshotGenerator(enqPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid) 407 408 val debug_lsIssue = WireDefault(debug_lsIssued) 409 debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue 410 411 /** 412 * states of Rob 413 */ 414 val s_idle :: s_walk :: Nil = Enum(2) 415 val state = RegInit(s_idle) 416 417 /** 418 * Data Modules 419 * 420 * CommitDataModule: data from dispatch 421 * (1) read: commits/walk/exception 422 * (2) write: enqueue 423 * 424 * WritebackData: data from writeback 425 * (1) read: commits/walk/exception 426 * (2) write: write back from exe units 427 */ 428 val dispatchData = Module(new SyncDataModuleTemplate(new RobCommitInfo, RobSize, CommitWidth, RenameWidth)) 429 val dispatchDataRead = dispatchData.io.rdata 430 431 val exceptionGen = Module(new ExceptionGen(params)) 432 val exceptionDataRead = exceptionGen.io.state 433 val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W))) 434 val vxsatDataRead = Wire(Vec(CommitWidth, Bool())) 435 436 io.robDeqPtr := deqPtr 437 io.debugRobHead := debug_microOp(deqPtr.value) 438 439 val rab = Module(new RenameBuffer(RabSize)) 440 rab.io.redirectValid := io.redirect.valid 441 rab.io.req.zip(io.enq.req).map { case (dest, src) => 442 dest.bits := src.bits 443 dest.valid := src.valid && io.enq.canAccept 444 } 445 446 val realDestSizeCandidates = (0 until CommitWidth).map(i => realDestSize(Mux(state === s_idle, deqPtrVec(i).value, walkPtrVec(i).value))) 447 val wbSizeSeq = io.commits.commitValid.zip(io.commits.walkValid).zip(realDestSizeCandidates).map { case ((commitValid, walkValid), realDestSize) => 448 Mux(io.commits.isCommit, Mux(commitValid, realDestSize, 0.U), Mux(walkValid, realDestSize, 0.U)) 449 } 450 val wbSizeSum = wbSizeSeq.reduce(_ + _) 451 rab.io.commitSize := wbSizeSum 452 rab.io.walkSize := wbSizeSum 453 454 io.rabCommits := rab.io.commits 455 io.diffCommits := rab.io.diffCommits 456 457 /** 458 * Enqueue (from dispatch) 459 */ 460 // special cases 461 val hasBlockBackward = RegInit(false.B) 462 val hasWaitForward = RegInit(false.B) 463 val doingSvinval = RegInit(false.B) 464 // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B 465 // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty. 466 when (isEmpty) { hasBlockBackward:= false.B } 467 // When any instruction commits, hasNoSpecExec should be set to false.B 468 when (io.commits.hasWalkInstr || io.commits.hasCommitInstr) { hasWaitForward:= false.B } 469 470 // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing. 471 // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle. 472 // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts. 473 val hasWFI = RegInit(false.B) 474 io.cpu_halt := hasWFI 475 // WFI Timeout: 2^20 = 1M cycles 476 val wfi_cycles = RegInit(0.U(20.W)) 477 when (hasWFI) { 478 wfi_cycles := wfi_cycles + 1.U 479 }.elsewhen (!hasWFI && RegNext(hasWFI)) { 480 wfi_cycles := 0.U 481 } 482 val wfi_timeout = wfi_cycles.andR 483 when (RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) { 484 hasWFI := false.B 485 } 486 487 val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop))))) 488 io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq 489 io.enq.resp := allocatePtrVec 490 val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept)) 491 val timer = GTimer() 492 for (i <- 0 until RenameWidth) { 493 // we don't check whether io.redirect is valid here since redirect has higher priority 494 when (canEnqueue(i)) { 495 val enqUop = io.enq.req(i).bits 496 val enqIndex = allocatePtrVec(i).value 497 // store uop in data module and debug_microOp Vec 498 debug_microOp(enqIndex) := enqUop 499 debug_microOp(enqIndex).debugInfo.dispatchTime := timer 500 debug_microOp(enqIndex).debugInfo.enqRsTime := timer 501 debug_microOp(enqIndex).debugInfo.selectTime := timer 502 debug_microOp(enqIndex).debugInfo.issueTime := timer 503 debug_microOp(enqIndex).debugInfo.writebackTime := timer 504 debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer 505 debug_microOp(enqIndex).debugInfo.tlbRespTime := timer 506 debug_lsInfo(enqIndex) := DebugLsInfo.init 507 debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init 508 debug_lqIdxValid(enqIndex) := false.B 509 debug_lsIssued(enqIndex) := false.B 510 511 when (enqUop.blockBackward) { 512 hasBlockBackward := true.B 513 } 514 when (enqUop.waitForward) { 515 hasWaitForward := true.B 516 } 517 val enqHasTriggerHit = false.B // io.enq.req(i).bits.cf.trigger.getHitFrontend 518 val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR 519 // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process 520 when(!enqHasTriggerHit && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) 521 { 522 doingSvinval := true.B 523 } 524 // the end instruction of Svinval enqs so clear doingSvinval 525 when(!enqHasTriggerHit && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) 526 { 527 doingSvinval := false.B 528 } 529 // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear 530 assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe))) 531 when (enqUop.isWFI && !enqHasException && !enqHasTriggerHit) { 532 hasWFI := true.B 533 } 534 535 mmio(enqIndex) := false.B 536 } 537 } 538 val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U) 539 io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR) 540 541 when (!io.wfi_enable) { 542 hasWFI := false.B 543 } 544 // sel vsetvl's flush position 545 val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3) 546 val vsetvlState = RegInit(vs_idle) 547 548 val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr)) 549 val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W))) 550 val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr)) 551 552 val enq0 = io.enq.req(0) 553 val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0) 554 val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe 555 val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map{case (req, fire) => FuType.isVpu(req.bits.fuType) && fire} 556 // for vs_idle 557 val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType))) 558 // for vs_waitVinstr 559 val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1) 560 val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req) 561 when(vsetvlState === vs_idle){ 562 firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr 563 firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset 564 firstVInstrRobIdx := firstVInstrIdle.bits.robIdx 565 }.elsewhen(vsetvlState === vs_waitVinstr){ 566 when(Cat(enqIsVInstrOrVset).orR){ 567 firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr 568 firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset 569 firstVInstrRobIdx := firstVInstrWait.bits.robIdx 570 } 571 } 572 573 val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR 574 when(vsetvlState === vs_idle && !io.redirect.valid){ 575 when(enq0IsVsetFlush){ 576 vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr) 577 } 578 }.elsewhen(vsetvlState === vs_waitVinstr){ 579 when(io.redirect.valid){ 580 vsetvlState := vs_idle 581 }.elsewhen(Cat(enqIsVInstrOrVset).orR){ 582 vsetvlState := vs_waitFlush 583 } 584 }.elsewhen(vsetvlState === vs_waitFlush){ 585 when(io.redirect.valid){ 586 vsetvlState := vs_idle 587 } 588 } 589 590 // lqEnq 591 io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) => 592 when(io.debugEnqLsq.canAccept && alloc && req.valid) { 593 debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx 594 debug_lqIdxValid(req.bits.robIdx.value) := true.B 595 } 596 } 597 598 // lsIssue 599 when(io.debugHeadLsIssue) { 600 debug_lsIssued(deqPtr.value) := true.B 601 } 602 603 /** 604 * Writeback (from execution units) 605 */ 606 for (wb <- exuWBs) { 607 when (wb.valid) { 608 val wbIdx = wb.bits.robIdx.value 609 debug_exuData(wbIdx) := wb.bits.data 610 debug_exuDebug(wbIdx) := wb.bits.debug 611 debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime 612 debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime 613 debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime 614 debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime 615 616 // debug for lqidx and sqidx 617 debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 618 debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 619 620 val debug_Uop = debug_microOp(wbIdx) 621 XSInfo(true.B, 622 p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " + 623 p"data 0x${Hexadecimal(wb.bits.data)} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " + 624 p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n" 625 ) 626 } 627 } 628 629 val writebackNum = PopCount(exuWBs.map(_.valid)) 630 XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum) 631 632 for (i <- 0 until LoadPipelineWidth) { 633 when (RegNext(io.lsq.mmio(i))) { 634 mmio(RegNext(io.lsq.uop(i).robIdx).value) := true.B 635 } 636 } 637 638 /** 639 * RedirectOut: Interrupt and Exceptions 640 */ 641 val deqDispatchData = dispatchDataRead(0) 642 val debug_deqUop = debug_microOp(deqPtr.value) 643 644 val intrBitSetReg = RegNext(io.csr.intrBitSet) 645 val intrEnable = intrBitSetReg && !hasWaitForward && interrupt_safe(deqPtr.value) 646 val deqHasExceptionOrFlush = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr 647 val deqHasException = deqHasExceptionOrFlush && (exceptionDataRead.bits.exceptionVec.asUInt.orR || 648 exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.hit) 649 val deqHasFlushPipe = deqHasExceptionOrFlush && exceptionDataRead.bits.flushPipe 650 val deqHasReplayInst = deqHasExceptionOrFlush && exceptionDataRead.bits.replayInst 651 val exceptionEnable = isWritebacked(deqPtr.value) && deqHasException 652 653 XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n") 654 XSDebug(deqHasException && exceptionDataRead.bits.trigger.getHitFrontend, "Debug Mode: Deq has frontend trigger exception\n") 655 XSDebug(deqHasException && exceptionDataRead.bits.trigger.getHitBackend, "Debug Mode: Deq has backend trigger exception\n") 656 657 val isFlushPipe = isWritebacked(deqPtr.value) && (deqHasFlushPipe || deqHasReplayInst) 658 659 val isVsetFlushPipe = isWritebacked(deqPtr.value) && deqHasFlushPipe && exceptionDataRead.bits.isVset 660// val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush) 661 val needModifyFtqIdxOffset = false.B 662 io.isVsetFlushPipe := isVsetFlushPipe 663 io.vconfigPdest := rab.io.vconfigPdest 664 // io.flushOut will trigger redirect at the next cycle. 665 // Block any redirect or commit at the next cycle. 666 val lastCycleFlush = RegNext(io.flushOut.valid) 667 668 io.flushOut.valid := (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable || isFlushPipe) && !lastCycleFlush 669 io.flushOut.bits := DontCare 670 io.flushOut.bits.isRVC := deqDispatchData.isRVC 671 io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr) 672 io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx) 673 io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset) 674 io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || exceptionEnable || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next" 675 io.flushOut.bits.interrupt := true.B 676 XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable) 677 XSPerfAccumulate("exception_num", io.flushOut.valid && exceptionEnable) 678 XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe) 679 XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst) 680 681 val exceptionHappen = (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable) && !lastCycleFlush 682 io.exception.valid := RegNext(exceptionHappen) 683 io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen) 684 io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen) 685 io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen) 686 io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen) 687 io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen) 688 io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen) 689 io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen) 690// io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen) 691 692 XSDebug(io.flushOut.valid, 693 p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " + 694 p"excp $exceptionEnable flushPipe $isFlushPipe " + 695 p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n") 696 697 698 /** 699 * Commits (and walk) 700 * They share the same width. 701 */ 702 val shouldWalkVec = VecInit(walkPtrVec.map(_ <= lastWalkPtr)) 703 val walkFinished = VecInit(walkPtrVec.map(_ >= lastWalkPtr)).asUInt.orR 704 rab.io.robWalkEnd := state === s_walk && walkFinished 705 706 require(RenameWidth <= CommitWidth) 707 708 // wiring to csr 709 val (wflags, fpWen) = (0 until CommitWidth).map(i => { 710 val v = io.commits.commitValid(i) 711 val info = io.commits.info(i) 712 (v & info.wflags, v & info.fpWen) 713 }).unzip 714 val fflags = Wire(Valid(UInt(5.W))) 715 fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR 716 fflags.bits := wflags.zip(fflagsDataRead).map({ 717 case (w, f) => Mux(w, f, 0.U) 718 }).reduce(_|_) 719 val dirty_fs = io.commits.isCommit && VecInit(fpWen).asUInt.orR 720 721 val vxsat = Wire(Valid(Bool())) 722 vxsat.valid := io.commits.isCommit && vxsat.bits 723 vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map { 724 case (valid, vxsat) => valid & vxsat 725 }.reduce(_ | _) 726 727 // when mispredict branches writeback, stop commit in the next 2 cycles 728 // TODO: don't check all exu write back 729 val misPredWb = Cat(VecInit(redirectWBs.map(wb => 730 wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid 731 ))).orR 732 val misPredBlockCounter = Reg(UInt(3.W)) 733 misPredBlockCounter := Mux(misPredWb, 734 "b111".U, 735 misPredBlockCounter >> 1.U 736 ) 737 val misPredBlock = misPredBlockCounter(0) 738 val blockCommit = misPredBlock && !io.flushOut.valid || isReplaying || lastCycleFlush || hasWFI || io.redirect.valid 739 740 io.commits.isWalk := state === s_walk 741 io.commits.isCommit := state === s_idle && !blockCommit 742 val walk_v = VecInit(walkPtrVec.map(ptr => valid(ptr.value))) 743 val commit_v = VecInit(deqPtrVec.map(ptr => valid(ptr.value))) 744 // store will be commited iff both sta & std have been writebacked 745 val commit_w = VecInit(deqPtrVec.map(ptr => isWritebacked(ptr.value))) 746 val commit_exception = exceptionDataRead.valid && !isAfter(exceptionDataRead.bits.robIdx, deqPtrVec.last) 747 val commit_block = VecInit((0 until CommitWidth).map(i => !commit_w(i))) 748 val allowOnlyOneCommit = commit_exception || intrBitSetReg 749 // for instructions that may block others, we don't allow them to commit 750 for (i <- 0 until CommitWidth) { 751 // defaults: state === s_idle and instructions commit 752 // when intrBitSetReg, allow only one instruction to commit at each clock cycle 753 val isBlocked = if (i != 0) Cat(commit_block.take(i)).orR || allowOnlyOneCommit else intrEnable || deqHasException || deqHasReplayInst 754 io.commits.commitValid(i) := commit_v(i) && commit_w(i) && !isBlocked 755 io.commits.info(i) := dispatchDataRead(i) 756 io.commits.robIdx(i) := deqPtrVec(i) 757 758 when (state === s_walk) { 759 io.commits.walkValid(i) := shouldWalkVec(i) 760 when (io.commits.isWalk && state === s_walk && shouldWalkVec(i)) { 761 XSError(!walk_v(i), s"why not $i???\n") 762 } 763 } 764 765 XSInfo(io.commits.isCommit && io.commits.commitValid(i), 766 "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n", 767 debug_microOp(deqPtrVec(i).value).pc, 768 io.commits.info(i).rfWen, 769 io.commits.info(i).ldest, 770 io.commits.info(i).pdest, 771 debug_exuData(deqPtrVec(i).value), 772 fflagsDataRead(i), 773 vxsatDataRead(i) 774 ) 775 XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n", 776 debug_microOp(walkPtrVec(i).value).pc, 777 io.commits.info(i).rfWen, 778 io.commits.info(i).ldest, 779 debug_exuData(walkPtrVec(i).value) 780 ) 781 } 782 if (env.EnableDifftest) { 783 io.commits.info.map(info => dontTouch(info.pc)) 784 } 785 786 // sync fflags/dirty_fs/vxsat to csr 787 io.csr.fflags := RegNext(fflags) 788 io.csr.dirty_fs := RegNext(dirty_fs) 789 io.csr.vxsat := RegNext(vxsat) 790 791 // sync v csr to csr 792 // for difftest 793 if(env.AlwaysBasicDiff || env.EnableDifftest) { 794 val isDiffWriteVconfigVec = io.diffCommits.commitValid.zip(io.diffCommits.info).map { case (valid, info) => valid && info.ldest === VCONFIG_IDX.U && info.vecWen }.reverse 795 io.csr.vcsrFlag := RegNext(io.diffCommits.isCommit && Cat(isDiffWriteVconfigVec).orR) 796 } 797 else{ 798 io.csr.vcsrFlag := false.B 799 } 800 801 // commit load/store to lsq 802 val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD)) 803 val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE)) 804 io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U)) 805 io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U)) 806 // indicate a pending load or store 807 io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && valid(deqPtr.value) && mmio(deqPtr.value)) 808 io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && valid(deqPtr.value)) 809 io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0)) 810 io.lsq.pendingPtr := RegNext(deqPtr) 811 812 /** 813 * state changes 814 * (1) redirect: switch to s_walk 815 * (2) walk: when walking comes to the end, switch to s_idle 816 */ 817 val state_next = Mux(io.redirect.valid, s_walk, Mux(state === s_walk && walkFinished && rab.io.rabWalkEnd, s_idle, state)) 818 XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle) 819 XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk) 820 XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle) 821 XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk) 822 state := state_next 823 824 /** 825 * pointers and counters 826 */ 827 val deqPtrGenModule = Module(new RobDeqPtrWrapper) 828 deqPtrGenModule.io.state := state 829 deqPtrGenModule.io.deq_v := commit_v 830 deqPtrGenModule.io.deq_w := commit_w 831 deqPtrGenModule.io.exception_state := exceptionDataRead 832 deqPtrGenModule.io.intrBitSetReg := intrBitSetReg 833 deqPtrGenModule.io.hasNoSpecExec := hasWaitForward 834 deqPtrGenModule.io.interrupt_safe := interrupt_safe(deqPtr.value) 835 deqPtrGenModule.io.blockCommit := blockCommit 836 deqPtrVec := deqPtrGenModule.io.out 837 val deqPtrVec_next = deqPtrGenModule.io.next_out 838 839 val enqPtrGenModule = Module(new RobEnqPtrWrapper) 840 enqPtrGenModule.io.redirect := io.redirect 841 enqPtrGenModule.io.allowEnqueue := allowEnqueue 842 enqPtrGenModule.io.hasBlockBackward := hasBlockBackward 843 enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop)) 844 enqPtrVec := enqPtrGenModule.io.out 845 846 // next walkPtrVec: 847 // (1) redirect occurs: update according to state 848 // (2) walk: move forwards 849 val walkPtrVec_next = Mux(io.redirect.valid, 850 Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect), deqPtrVec_next), 851 Mux(state === s_walk, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec) 852 ) 853 walkPtrVec := walkPtrVec_next 854 855 val numValidEntries = distanceBetween(enqPtr, deqPtr) 856 val commitCnt = PopCount(io.commits.commitValid) 857 858 allowEnqueue := numValidEntries + dispatchNum <= (RobSize - RenameWidth).U 859 860 val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0)) 861 when (io.redirect.valid) { 862 lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx) 863 } 864 865 866 /** 867 * States 868 * We put all the stage bits changes here. 869 870 * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit); 871 * All states: (1) valid; (2) writebacked; (3) flagBkup 872 */ 873 val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value))) 874 875 // redirect logic writes 6 valid 876 val redirectHeadVec = Reg(Vec(RenameWidth, new RobPtr)) 877 val redirectTail = Reg(new RobPtr) 878 val redirectIdle :: redirectBusy :: Nil = Enum(2) 879 val redirectState = RegInit(redirectIdle) 880 val invMask = redirectHeadVec.map(redirectHead => isBefore(redirectHead, redirectTail)) 881 when(redirectState === redirectBusy) { 882 redirectHeadVec.foreach(redirectHead => redirectHead := redirectHead + RenameWidth.U) 883 redirectHeadVec zip invMask foreach { 884 case (redirectHead, inv) => when(inv) { 885 valid(redirectHead.value) := false.B 886 } 887 } 888 when(!invMask.last) { 889 redirectState := redirectIdle 890 } 891 } 892 when(io.redirect.valid) { 893 redirectState := redirectBusy 894 when(redirectState === redirectIdle) { 895 redirectTail := enqPtr 896 } 897 redirectHeadVec.zipWithIndex.foreach { case (redirectHead, i) => 898 redirectHead := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U) 899 } 900 } 901 // enqueue logic writes 6 valid 902 for (i <- 0 until RenameWidth) { 903 when (canEnqueue(i) && !io.redirect.valid) { 904 valid(allocatePtrVec(i).value) := true.B 905 } 906 } 907 // dequeue logic writes 6 valid 908 for (i <- 0 until CommitWidth) { 909 val commitValid = io.commits.isCommit && io.commits.commitValid(i) 910 when (commitValid) { 911 valid(commitReadAddr(i)) := false.B 912 } 913 } 914 915 // debug_inst update 916 for(i <- 0 until (LduCnt + StaCnt)) { 917 debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i)) 918 debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i)) 919 } 920 for (i <- 0 until LduCnt) { 921 debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i)) 922 debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i)) 923 } 924 925 // writeback logic set numWbPorts writebacked to true 926 val blockWbSeq = Wire(Vec(exuWBs.length, Bool())) 927 blockWbSeq.map(_ := false.B) 928 for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) { 929 when(wb.valid) { 930 val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR 931 val wbHasTriggerHit = false.B //Todo: wb.bits.trigger.getHitBackend 932 val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B) 933 val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst 934 blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerHit 935 } 936 } 937 938 // if the first uop of an instruction is valid , write writebackedCounter 939 val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid) 940 val instEnqValidSeq = io.enq.req.map (req => io.enq.canAccept && req.valid && req.bits.firstUop) 941 val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf) 942 val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value) 943 val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops)) 944 val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove)) 945 946 private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map { 947 req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType) 948 }) 949 val enqWbSizeSeq = io.enq.req.map { req => 950 val enqHasException = ExceptionNO.selectFrontend(req.bits.exceptionVec).asUInt.orR 951 val enqHasTriggerHit = req.bits.trigger.getHitFrontend 952 Mux(req.bits.eliminatedMove, Mux(enqHasException || enqHasTriggerHit, 1.U, 0.U), 953 Mux(FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType), 2.U, 1.U)) 954 } 955 val enqWbSizeSumSeq = enqRobIdxSeq.zipWithIndex.map { case (robIdx, idx) => 956 val addend = enqRobIdxSeq.zip(enqWbSizeSeq).take(idx + 1).map { case (uopRobIdx, uopWbSize) => Mux(robIdx === uopRobIdx, uopWbSize, 0.U) } 957 addend.reduce(_ +& _) 958 } 959 val fflags_wb = fflagsPorts 960 val vxsat_wb = vxsatPorts 961 for(i <- 0 until RobSize){ 962 963 val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U) 964 val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch } 965 val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch } 966 val instCanEnqFlag = Cat(instCanEnqSeq).orR 967 968 realDestSize(i) := Mux(!valid(i) && instCanEnqFlag || valid(i), realDestSize(i) + PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map{ case(writeFlag, valid) => writeFlag && valid }), 0.U) 969 970 val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 971 val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 972 val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 973 974 val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 975 val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map{ case(canWb, blockWb) => canWb && !blockWb } 976 val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)) 977 val wbCnt = PopCount(canWbNoBlockSeq) 978 when (exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === i.U) { 979 // exception flush 980 uopNumVec(i) := 0.U 981 stdWritebacked(i) := true.B 982 }.elsewhen(!valid(i) && instCanEnqFlag) { 983 // enq set num of uops 984 uopNumVec(i) := Mux(enqEliminatedMove, 0.U, enqUopNum) 985 stdWritebacked(i) := Mux(enqWriteStd, false.B, true.B) 986 }.elsewhen(valid(i)) { 987 // update by writing back 988 uopNumVec(i) := uopNumVec(i) - wbCnt 989 when (canStdWbSeq.asUInt.orR) { 990 stdWritebacked(i) := true.B 991 } 992 }.otherwise { 993 uopNumVec(i) := 0.U 994 } 995 996 val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 997 val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 998 fflagsDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, fflagsDataModule(i) | fflagsRes) 999 1000 val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 1001 val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 1002 vxsatDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, vxsatDataModule(i) | vxsatRes) 1003 } 1004 1005 // flagBkup 1006 // enqueue logic set 6 flagBkup at most 1007 for (i <- 0 until RenameWidth) { 1008 when (canEnqueue(i)) { 1009 flagBkup(allocatePtrVec(i).value) := allocatePtrVec(i).flag 1010 } 1011 } 1012 1013 // interrupt_safe 1014 for (i <- 0 until RenameWidth) { 1015 // We RegNext the updates for better timing. 1016 // Note that instructions won't change the system's states in this cycle. 1017 when (RegNext(canEnqueue(i))) { 1018 // For now, we allow non-load-store instructions to trigger interrupts 1019 // For MMIO instructions, they should not trigger interrupts since they may 1020 // be sent to lower level before it writes back. 1021 // However, we cannot determine whether a load/store instruction is MMIO. 1022 // Thus, we don't allow load/store instructions to trigger an interrupt. 1023 // TODO: support non-MMIO load-store instructions to trigger interrupts 1024 val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType) 1025 interrupt_safe(RegNext(allocatePtrVec(i).value)) := RegNext(allow_interrupts) 1026 } 1027 } 1028 1029 /** 1030 * read and write of data modules 1031 */ 1032 val commitReadAddr_next = Mux(state_next === s_idle, 1033 VecInit(deqPtrVec_next.map(_.value)), 1034 VecInit(walkPtrVec_next.map(_.value)) 1035 ) 1036 dispatchData.io.wen := canEnqueue 1037 dispatchData.io.waddr := allocatePtrVec.map(_.value) 1038 dispatchData.io.wdata.zip(io.enq.req.map(_.bits)).foreach{ case (wdata, req) => 1039 wdata.ldest := req.ldest 1040 wdata.rfWen := req.rfWen 1041 wdata.fpWen := req.fpWen 1042 wdata.vecWen := req.vecWen 1043 wdata.wflags := req.fpu.wflags 1044 wdata.commitType := req.commitType 1045 wdata.pdest := req.pdest 1046 wdata.ftqIdx := req.ftqPtr 1047 wdata.ftqOffset := req.ftqOffset 1048 wdata.isMove := req.eliminatedMove 1049 wdata.isRVC := req.preDecodeInfo.isRVC 1050 wdata.pc := req.pc 1051 wdata.vtype := req.vpu.vtype 1052 wdata.isVset := req.isVset 1053 } 1054 dispatchData.io.raddr := commitReadAddr_next 1055 1056 exceptionGen.io.redirect <> io.redirect 1057 exceptionGen.io.flush := io.flushOut.valid 1058 1059 val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept)) 1060 for (i <- 0 until RenameWidth) { 1061 exceptionGen.io.enq(i).valid := canEnqueueEG(i) 1062 exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx 1063 exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec) 1064 exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe 1065 exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset 1066 exceptionGen.io.enq(i).bits.replayInst := false.B 1067 XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst") 1068 exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep 1069 exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix 1070 exceptionGen.io.enq(i).bits.trigger.clear() 1071 exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit 1072 } 1073 1074 println(s"ExceptionGen:") 1075 println(s"num of exceptions: ${params.numException}") 1076 require(exceptionWBs.length == exceptionGen.io.wb.length, 1077 f"exceptionWBs.length: ${exceptionWBs.length}, " + 1078 f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}") 1079 for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) { 1080 exc_wb.valid := wb.valid 1081 exc_wb.bits.robIdx := wb.bits.robIdx 1082 exc_wb.bits.exceptionVec := wb.bits.exceptionVec.get 1083 exc_wb.bits.flushPipe := wb.bits.flushPipe.getOrElse(false.B) 1084 exc_wb.bits.isVset := false.B 1085 exc_wb.bits.replayInst := wb.bits.replay.getOrElse(false.B) 1086 exc_wb.bits.singleStep := false.B 1087 exc_wb.bits.crossPageIPFFix := false.B 1088 exc_wb.bits.trigger := 0.U.asTypeOf(exc_wb.bits.trigger) // Todo 1089// println(s" [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " + 1090// s"flushPipe ${configs.exists(_.flushPipe)}, " + 1091// s"replayInst ${configs.exists(_.replayInst)}") 1092 } 1093 1094 fflagsDataRead := (0 until CommitWidth).map(i => fflagsDataModule(deqPtrVec(i).value)) 1095 vxsatDataRead := (0 until CommitWidth).map(i => vxsatDataModule(deqPtrVec(i).value)) 1096 1097 val instrCntReg = RegInit(0.U(64.W)) 1098 val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) }) 1099 val trueCommitCnt = RegNext(commitCnt) +& fuseCommitCnt 1100 val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U) 1101 val instrCnt = instrCntReg + retireCounter 1102 instrCntReg := instrCnt 1103 io.csr.perfinfo.retiredInstr := retireCounter 1104 io.robFull := !allowEnqueue 1105 io.headNotReady := commit_v.head && !commit_w.head 1106 1107 /** 1108 * debug info 1109 */ 1110 XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n") 1111 XSDebug("") 1112 XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n") 1113 for(i <- 0 until RobSize){ 1114 XSDebug(false, !valid(i), "-") 1115 XSDebug(false, valid(i) && isWritebacked(i.U), "w") 1116 XSDebug(false, valid(i) && !isWritebacked(i.U), "v") 1117 } 1118 XSDebug(false, true.B, "\n") 1119 1120 for(i <- 0 until RobSize) { 1121 if(i % 4 == 0) XSDebug("") 1122 XSDebug(false, true.B, "%x ", debug_microOp(i).pc) 1123 XSDebug(false, !valid(i), "- ") 1124 XSDebug(false, valid(i) && isWritebacked(i.U), "w ") 1125 XSDebug(false, valid(i) && !isWritebacked(i.U), "v ") 1126 if(i % 4 == 3) XSDebug(false, true.B, "\n") 1127 } 1128 1129 def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U) 1130 def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U) 1131 1132 val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_)) 1133 XSPerfAccumulate("clock_cycle", 1.U) 1134 QueuePerf(RobSize, PopCount((0 until RobSize).map(valid(_))), !allowEnqueue) 1135 XSPerfAccumulate("commitUop", ifCommit(commitCnt)) 1136 XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt)) 1137 val commitIsMove = commitDebugUop.map(_.isMove) 1138 XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m }))) 1139 val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove) 1140 XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e }))) 1141 XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt)) 1142 val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD) 1143 val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map{ case (v, t) => v && t } 1144 XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid))) 1145 val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH) 1146 val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map{ case (v, t) => v && t } 1147 XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid))) 1148 val commitLoadWaitBit = commitDebugUop.map(_.loadWaitBit) 1149 XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w }))) 1150 val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE) 1151 XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t }))) 1152 XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => valid(i) && isWritebacked(i.U)))) 1153 // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire))) 1154 // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready))) 1155 XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)) 1156 XSPerfAccumulate("walkCycle", state === s_walk) 1157 val deqNotWritebacked = valid(deqPtr.value) && !isWritebacked(deqPtr.value) 1158 val deqUopCommitType = io.commits.info(0).commitType 1159 XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL) 1160 XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH) 1161 XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD) 1162 XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE) 1163 XSPerfAccumulate("robHeadPC", io.commits.info(0).pc) 1164 val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime) 1165 val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime) 1166 val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime) 1167 val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime) 1168 val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime) 1169 val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime) 1170 val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime) 1171 def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = { 1172 cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _) 1173 } 1174 for (fuType <- FuType.functionNameMap.keys) { 1175 val fuName = FuType.functionNameMap(fuType) 1176 val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U ) 1177 XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType))) 1178 XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency))) 1179 XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency))) 1180 XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency))) 1181 XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency))) 1182 XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency))) 1183 XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency))) 1184 XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency))) 1185 if (fuType == FuType.fmac) { 1186 val commitIsFma = commitIsFuType.zip(commitDebugUop).map(x => x._1 && x._2.fpu.ren3 ) 1187 XSPerfAccumulate(s"${fuName}_instr_cnt_fma", ifCommit(PopCount(commitIsFma))) 1188 XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute_fma", ifCommit(latencySum(commitIsFma, rsFuLatency))) 1189 XSPerfAccumulate(s"${fuName}_latency_execute_fma", ifCommit(latencySum(commitIsFma, executeLatency))) 1190 } 1191 } 1192 1193 val sourceVaddr = Wire(Valid(UInt(VAddrBits.W))) 1194 sourceVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid 1195 sourceVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits 1196 val sourcePaddr = Wire(Valid(UInt(PAddrBits.W))) 1197 sourcePaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid 1198 sourcePaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits 1199 val sourceLqIdx = Wire(Valid(new LqPtr)) 1200 sourceLqIdx.valid := debug_lqIdxValid(deqPtr.value) 1201 sourceLqIdx.bits := debug_microOp(deqPtr.value).lqIdx 1202 val sourceHeadLsIssue = WireDefault(debug_lsIssue(deqPtr.value)) 1203 ExcitingUtils.addSource(sourceVaddr, s"rob_head_vaddr_${coreParams.HartId}", ExcitingUtils.Perf, true) 1204 ExcitingUtils.addSource(sourcePaddr, s"rob_head_paddr_${coreParams.HartId}", ExcitingUtils.Perf, true) 1205 ExcitingUtils.addSource(sourceLqIdx, s"rob_head_lqIdx_${coreParams.HartId}", ExcitingUtils.Perf, true) 1206 ExcitingUtils.addSource(sourceHeadLsIssue, s"rob_head_ls_issue_${coreParams.HartId}", ExcitingUtils.Perf, true) 1207 // dummy sink 1208 ExcitingUtils.addSink(WireDefault(sourceLqIdx), s"rob_head_lqIdx_${coreParams.HartId}", ExcitingUtils.Perf) 1209 ExcitingUtils.addSink(WireDefault(sourcePaddr), name=s"rob_head_paddr_${coreParams.HartId}", ExcitingUtils.Perf) 1210 ExcitingUtils.addSink(WireDefault(sourceVaddr), name=s"rob_head_vaddr_${coreParams.HartId}", ExcitingUtils.Perf) 1211 1212 /** 1213 * DataBase info: 1214 * log trigger is at writeback valid 1215 * */ 1216 1217 /** 1218 * @todo add InstInfoEntry back 1219 * @author Maxpicca-Li 1220 */ 1221 1222 //difftest signals 1223 val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value 1224 1225 val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1226 val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1227 1228 for(i <- 0 until CommitWidth) { 1229 val idx = deqPtrVec(i).value 1230 wdata(i) := debug_exuData(idx) 1231 wpc(i) := SignExt(commitDebugUop(i).pc, XLEN) 1232 } 1233 1234 if (env.EnableDifftest) { 1235 for (i <- 0 until CommitWidth) { 1236 val difftest = Module(new DifftestInstrCommit) 1237 // assgin default value 1238 difftest.io := DontCare 1239 1240 difftest.io.clock := clock 1241 difftest.io.coreid := io.hartId 1242 difftest.io.index := i.U 1243 1244 val ptr = deqPtrVec(i).value 1245 val uop = commitDebugUop(i) 1246 val exuOut = debug_exuDebug(ptr) 1247 val exuData = debug_exuData(ptr) 1248 difftest.io.valid := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.isCommit))) 1249 difftest.io.pc := RegNext(RegNext(RegNext(SignExt(uop.pc, XLEN)))) 1250 difftest.io.instr := RegNext(RegNext(RegNext(uop.instr))) 1251 difftest.io.robIdx := RegNext(RegNext(RegNext(ZeroExt(ptr, 10)))) 1252 difftest.io.lqIdx := RegNext(RegNext(RegNext(ZeroExt(uop.lqIdx.value, 7)))) 1253 difftest.io.sqIdx := RegNext(RegNext(RegNext(ZeroExt(uop.sqIdx.value, 7)))) 1254 difftest.io.isLoad := RegNext(RegNext(RegNext(io.commits.info(i).commitType === CommitType.LOAD))) 1255 difftest.io.isStore := RegNext(RegNext(RegNext(io.commits.info(i).commitType === CommitType.STORE))) 1256 difftest.io.special := RegNext(RegNext(RegNext(CommitType.isFused(io.commits.info(i).commitType)))) 1257 // when committing an eliminated move instruction, 1258 // we must make sure that skip is properly set to false (output from EXU is random value) 1259 difftest.io.skip := RegNext(RegNext(RegNext(Mux(uop.eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt)))) 1260 difftest.io.isRVC := RegNext(RegNext(RegNext(uop.preDecodeInfo.isRVC))) 1261 difftest.io.rfwen := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.info(i).rfWen && io.commits.info(i).ldest =/= 0.U))) 1262 difftest.io.fpwen := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.info(i).fpWen))) 1263 difftest.io.wpdest := RegNext(RegNext(RegNext(io.commits.info(i).pdest))) 1264 difftest.io.wdest := RegNext(RegNext(RegNext(io.commits.info(i).ldest))) 1265 // // runahead commit hint 1266 // val runahead_commit = Module(new DifftestRunaheadCommitEvent) 1267 // runahead_commit.io.clock := clock 1268 // runahead_commit.io.coreid := io.hartId 1269 // runahead_commit.io.index := i.U 1270 // runahead_commit.io.valid := difftest.io.valid && 1271 // (commitBranchValid(i) || commitIsStore(i)) 1272 // // TODO: is branch or store 1273 // runahead_commit.io.pc := difftest.io.pc 1274 } 1275 } 1276 else if (env.AlwaysBasicDiff) { 1277 // These are the structures used by difftest only and should be optimized after synthesis. 1278 val dt_eliminatedMove = Mem(RobSize, Bool()) 1279 val dt_isRVC = Mem(RobSize, Bool()) 1280 val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle)) 1281 for (i <- 0 until RenameWidth) { 1282 when (canEnqueue(i)) { 1283 dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove 1284 dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC 1285 } 1286 } 1287 for (wb <- exuWBs) { 1288 when (wb.valid) { 1289 val wbIdx = wb.bits.robIdx.value 1290 dt_exuDebug(wbIdx) := wb.bits.debug 1291 } 1292 } 1293 // Always instantiate basic difftest modules. 1294 for (i <- 0 until CommitWidth) { 1295 val commitInfo = io.commits.info(i) 1296 val ptr = deqPtrVec(i).value 1297 val exuOut = dt_exuDebug(ptr) 1298 val eliminatedMove = dt_eliminatedMove(ptr) 1299 val isRVC = dt_isRVC(ptr) 1300 1301 val difftest = Module(new DifftestBasicInstrCommit) 1302 difftest.io.clock := clock 1303 difftest.io.coreid := io.hartId 1304 difftest.io.index := i.U 1305 difftest.io.valid := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.isCommit))) 1306 difftest.io.special := RegNext(RegNext(RegNext(CommitType.isFused(commitInfo.commitType)))) 1307 difftest.io.skip := RegNext(RegNext(RegNext(Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt)))) 1308 difftest.io.isRVC := RegNext(RegNext(RegNext(isRVC))) 1309 difftest.io.rfwen := RegNext(RegNext(RegNext(io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.ldest =/= 0.U))) 1310 difftest.io.fpwen := RegNext(RegNext(RegNext(io.commits.commitValid(i) && commitInfo.fpWen))) 1311 difftest.io.wpdest := RegNext(RegNext(RegNext(commitInfo.pdest))) 1312 difftest.io.wdest := RegNext(RegNext(RegNext(commitInfo.ldest))) 1313 } 1314 } 1315 1316 if (env.EnableDifftest) { 1317 for (i <- 0 until CommitWidth) { 1318 val difftest = Module(new DifftestLoadEvent) 1319 difftest.io.clock := clock 1320 difftest.io.coreid := io.hartId 1321 difftest.io.index := i.U 1322 1323 val ptr = deqPtrVec(i).value 1324 val uop = commitDebugUop(i) 1325 val exuOut = debug_exuDebug(ptr) 1326 difftest.io.valid := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.isCommit))) 1327 difftest.io.paddr := RegNext(RegNext(RegNext(exuOut.paddr))) 1328 difftest.io.opType := RegNext(RegNext(RegNext(uop.fuOpType))) 1329 difftest.io.fuType := RegNext(RegNext(RegNext(uop.fuType))) 1330 } 1331 } 1332 1333 // Always instantiate basic difftest modules. 1334 if (env.EnableDifftest) { 1335 val dt_isXSTrap = Mem(RobSize, Bool()) 1336 for (i <- 0 until RenameWidth) { 1337 when (canEnqueue(i)) { 1338 dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap 1339 } 1340 } 1341 val trapVec = io.commits.commitValid.zip(deqPtrVec).map{ case (v, d) => io.commits.isCommit && v && dt_isXSTrap(d.value) } 1342 val hitTrap = trapVec.reduce(_||_) 1343 val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1)) 1344 val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 ->x._1)), XLEN) 1345 val difftest = Module(new DifftestTrapEvent) 1346 difftest.io.clock := clock 1347 difftest.io.coreid := io.hartId 1348 difftest.io.valid := hitTrap 1349 difftest.io.code := trapCode 1350 difftest.io.pc := trapPC 1351 difftest.io.cycleCnt := timer 1352 difftest.io.instrCnt := instrCnt 1353 difftest.io.hasWFI := hasWFI 1354 } 1355 else if (env.AlwaysBasicDiff) { 1356 val dt_isXSTrap = Mem(RobSize, Bool()) 1357 for (i <- 0 until RenameWidth) { 1358 when (canEnqueue(i)) { 1359 dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap 1360 } 1361 } 1362 val trapVec = io.commits.commitValid.zip(deqPtrVec).map{ case (v, d) => io.commits.isCommit && v && dt_isXSTrap(d.value) } 1363 val hitTrap = trapVec.reduce(_||_) 1364 val difftest = Module(new DifftestBasicTrapEvent) 1365 difftest.io.clock := clock 1366 difftest.io.coreid := io.hartId 1367 difftest.io.valid := hitTrap 1368 difftest.io.cycleCnt := timer 1369 difftest.io.instrCnt := instrCnt 1370 } 1371 1372 val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(valid.drop(i * 32).take(32)))) 1373 val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _)) 1374 val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m }) 1375 val commitLoadVec = VecInit(commitLoadValid) 1376 val commitBranchVec = VecInit(commitBranchValid) 1377 val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w }) 1378 val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t }) 1379 val perfEvents = Seq( 1380 ("rob_interrupt_num ", io.flushOut.valid && intrEnable ), 1381 ("rob_exception_num ", io.flushOut.valid && exceptionEnable ), 1382 ("rob_flush_pipe_num ", io.flushOut.valid && isFlushPipe ), 1383 ("rob_replay_inst_num ", io.flushOut.valid && isFlushPipe && deqHasReplayInst ), 1384 ("rob_commitUop ", ifCommit(commitCnt) ), 1385 ("rob_commitInstr ", ifCommitReg(trueCommitCnt) ), 1386 ("rob_commitInstrMove ", ifCommitReg(PopCount(RegNext(commitMoveVec))) ), 1387 ("rob_commitInstrFused ", ifCommitReg(fuseCommitCnt) ), 1388 ("rob_commitInstrLoad ", ifCommitReg(PopCount(RegNext(commitLoadVec))) ), 1389 ("rob_commitInstrBranch ", ifCommitReg(PopCount(RegNext(commitBranchVec))) ), 1390 ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec))) ), 1391 ("rob_commitInstrStore ", ifCommitReg(PopCount(RegNext(commitStoreVec))) ), 1392 ("rob_walkInstr ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U) ), 1393 ("rob_walkCycle ", (state === s_walk) ), 1394 ("rob_1_4_valid ", validEntries <= (RobSize / 4).U ), 1395 ("rob_2_4_valid ", validEntries > (RobSize / 4).U && validEntries <= (RobSize / 2).U ), 1396 ("rob_3_4_valid ", validEntries > (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U), 1397 ("rob_4_4_valid ", validEntries > (RobSize * 3 / 4).U ), 1398 ) 1399 generatePerfEvent() 1400} 1401