xref: /XiangShan/src/main/scala/xiangshan/backend/rob/Rob.scala (revision 7f8233d5a8887332319d249b84f17f57e5c5dd26)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.backend.rob
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import difftest._
23import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
24import utility._
25import utils._
26import xiangshan._
27import xiangshan.backend.BackendParams
28import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
29import xiangshan.backend.fu.{FuType, FuConfig}
30import xiangshan.frontend.FtqPtr
31import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr}
32import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
33import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo}
34import xiangshan.backend.rename.SnapshotGenerator
35
36class RobPtr(entries: Int) extends CircularQueuePtr[RobPtr](
37  entries
38) with HasCircularQueuePtrHelper {
39
40  def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).RobSize)
41
42  def needFlush(redirect: Valid[Redirect]): Bool = {
43    val flushItself = redirect.bits.flushItself() && this === redirect.bits.robIdx
44    redirect.valid && (flushItself || isAfter(this, redirect.bits.robIdx))
45  }
46
47  def needFlush(redirect: Seq[Valid[Redirect]]): Bool = VecInit(redirect.map(needFlush)).asUInt.orR
48}
49
50object RobPtr {
51  def apply(f: Bool, v: UInt)(implicit p: Parameters): RobPtr = {
52    val ptr = Wire(new RobPtr)
53    ptr.flag := f
54    ptr.value := v
55    ptr
56  }
57}
58
59class RobCSRIO(implicit p: Parameters) extends XSBundle {
60  val intrBitSet = Input(Bool())
61  val trapTarget = Input(UInt(VAddrBits.W))
62  val isXRet     = Input(Bool())
63  val wfiEvent   = Input(Bool())
64
65  val fflags     = Output(Valid(UInt(5.W)))
66  val vxsat      = Output(Valid(Bool()))
67  val dirty_fs   = Output(Bool())
68  val perfinfo   = new Bundle {
69    val retiredInstr = Output(UInt(3.W))
70  }
71
72  val vcsrFlag   = Output(Bool())
73}
74
75class RobLsqIO(implicit p: Parameters) extends XSBundle {
76  val lcommit = Output(UInt(log2Up(CommitWidth + 1).W))
77  val scommit = Output(UInt(log2Up(CommitWidth + 1).W))
78  val pendingld = Output(Bool())
79  val pendingst = Output(Bool())
80  val commit = Output(Bool())
81  val pendingPtr = Output(new RobPtr)
82
83  val mmio = Input(Vec(LoadPipelineWidth, Bool()))
84  val uop = Input(Vec(LoadPipelineWidth, new DynInst))
85}
86
87class RobEnqIO(implicit p: Parameters) extends XSBundle {
88  val canAccept = Output(Bool())
89  val isEmpty = Output(Bool())
90  // valid vector, for robIdx gen and walk
91  val needAlloc = Vec(RenameWidth, Input(Bool()))
92  val req = Vec(RenameWidth, Flipped(ValidIO(new DynInst)))
93  val resp = Vec(RenameWidth, Output(new RobPtr))
94}
95
96class RobDispatchData(implicit p: Parameters) extends RobCommitInfo {}
97
98class RobDeqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
99  val io = IO(new Bundle {
100    // for commits/flush
101    val state = Input(UInt(2.W))
102    val deq_v = Vec(CommitWidth, Input(Bool()))
103    val deq_w = Vec(CommitWidth, Input(Bool()))
104    val exception_state = Flipped(ValidIO(new RobExceptionInfo))
105    // for flush: when exception occurs, reset deqPtrs to range(0, CommitWidth)
106    val intrBitSetReg = Input(Bool())
107    val hasNoSpecExec = Input(Bool())
108    val interrupt_safe = Input(Bool())
109    val blockCommit = Input(Bool())
110    // output: the CommitWidth deqPtr
111    val out = Vec(CommitWidth, Output(new RobPtr))
112    val next_out = Vec(CommitWidth, Output(new RobPtr))
113  })
114
115  val deqPtrVec = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new RobPtr))))
116
117  // for exceptions (flushPipe included) and interrupts:
118  // only consider the first instruction
119  val intrEnable = io.intrBitSetReg && !io.hasNoSpecExec && io.interrupt_safe
120  val exceptionEnable = io.deq_w(0) && io.exception_state.valid && io.exception_state.bits.not_commit && io.exception_state.bits.robIdx === deqPtrVec(0)
121  val redirectOutValid = io.state === 0.U && io.deq_v(0) && (intrEnable || exceptionEnable)
122
123  // for normal commits: only to consider when there're no exceptions
124  // we don't need to consider whether the first instruction has exceptions since it wil trigger exceptions.
125  val commit_exception = io.exception_state.valid && !isAfter(io.exception_state.bits.robIdx, deqPtrVec.last)
126  val canCommit = VecInit((0 until CommitWidth).map(i => io.deq_v(i) && io.deq_w(i)))
127  val normalCommitCnt = PriorityEncoder(canCommit.map(c => !c) :+ true.B)
128  // when io.intrBitSetReg or there're possible exceptions in these instructions,
129  // only one instruction is allowed to commit
130  val allowOnlyOne = commit_exception || io.intrBitSetReg
131  val commitCnt = Mux(allowOnlyOne, canCommit(0), normalCommitCnt)
132
133  val commitDeqPtrVec = VecInit(deqPtrVec.map(_ + commitCnt))
134  val deqPtrVec_next = Mux(io.state === 0.U && !redirectOutValid && !io.blockCommit, commitDeqPtrVec, deqPtrVec)
135
136  deqPtrVec := deqPtrVec_next
137
138  io.next_out := deqPtrVec_next
139  io.out      := deqPtrVec
140
141  when (io.state === 0.U) {
142    XSInfo(io.state === 0.U && commitCnt > 0.U, "retired %d insts\n", commitCnt)
143  }
144
145}
146
147class RobEnqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
148  val io = IO(new Bundle {
149    // for input redirect
150    val redirect = Input(Valid(new Redirect))
151    // for enqueue
152    val allowEnqueue = Input(Bool())
153    val hasBlockBackward = Input(Bool())
154    val enq = Vec(RenameWidth, Input(Bool()))
155    val out = Output(Vec(RenameWidth, new RobPtr))
156  })
157
158  val enqPtrVec = RegInit(VecInit.tabulate(RenameWidth)(_.U.asTypeOf(new RobPtr)))
159
160  // enqueue
161  val canAccept = io.allowEnqueue && !io.hasBlockBackward
162  val dispatchNum = Mux(canAccept, PopCount(io.enq), 0.U)
163
164  for ((ptr, i) <- enqPtrVec.zipWithIndex) {
165    when(io.redirect.valid) {
166      ptr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U)
167    }.otherwise {
168      ptr := ptr + dispatchNum
169    }
170  }
171
172  io.out := enqPtrVec
173
174}
175
176class RobExceptionInfo(implicit p: Parameters) extends XSBundle {
177  // val valid = Bool()
178  val robIdx = new RobPtr
179  val exceptionVec = ExceptionVec()
180  val flushPipe = Bool()
181  val isVset = Bool()
182  val replayInst = Bool() // redirect to that inst itself
183  val singleStep = Bool() // TODO add frontend hit beneath
184  val crossPageIPFFix = Bool()
185  val trigger = new TriggerCf
186
187//  def trigger_before = !trigger.getTimingBackend && trigger.getHitBackend
188//  def trigger_after = trigger.getTimingBackend && trigger.getHitBackend
189  def has_exception = exceptionVec.asUInt.orR || flushPipe || singleStep || replayInst || trigger.hit
190  def not_commit = exceptionVec.asUInt.orR || singleStep || replayInst || trigger.hit
191  // only exceptions are allowed to writeback when enqueue
192  def can_writeback = exceptionVec.asUInt.orR || singleStep || trigger.hit
193}
194
195class ExceptionGen(params: BackendParams)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
196  val io = IO(new Bundle {
197    val redirect = Input(Valid(new Redirect))
198    val flush = Input(Bool())
199    val enq = Vec(RenameWidth, Flipped(ValidIO(new RobExceptionInfo)))
200    // csr + load + store
201    val wb = Vec(params.numException, Flipped(ValidIO(new RobExceptionInfo)))
202    val out = ValidIO(new RobExceptionInfo)
203    val state = ValidIO(new RobExceptionInfo)
204  })
205
206  def getOldest(valid: Seq[Bool], bits: Seq[RobExceptionInfo]): (Seq[Bool], Seq[RobExceptionInfo]) = {
207    assert(valid.length == bits.length)
208    if (valid.length == 1) {
209      (valid, bits)
210    } else if (valid.length == 2) {
211      val res = Seq.fill(2)(Wire(ValidIO(chiselTypeOf(bits(0)))))
212      for (i <- res.indices) {
213        res(i).valid := valid(i)
214        res(i).bits := bits(i)
215      }
216      val oldest = Mux(!valid(1) || valid(0) && isAfter(bits(1).robIdx, bits(0).robIdx), res(0), res(1))
217      (Seq(oldest.valid), Seq(oldest.bits))
218    } else {
219      val left = getOldest(valid.take(valid.length / 2), bits.take(valid.length / 2))
220      val right = getOldest(valid.drop(valid.length / 2), bits.drop(valid.length / 2))
221      getOldest(left._1 ++ right._1, left._2 ++ right._2)
222    }
223  }
224
225  val currentValid = RegInit(false.B)
226  val current = Reg(new RobExceptionInfo)
227
228  // orR the exceptionVec
229  val lastCycleFlush = RegNext(io.flush)
230  val in_enq_valid = VecInit(io.enq.map(e => e.valid && e.bits.has_exception && !lastCycleFlush))
231  val in_wb_valid = io.wb.map(w => w.valid && w.bits.has_exception && !lastCycleFlush)
232
233  // TODO: s0,s1 need retiming
234  val wb_valid = in_wb_valid.zip(io.wb.map(_.bits)).map{ case (v, bits) => v && !(bits.robIdx.needFlush(io.redirect) || io.flush) }
235  val oldest = getOldest(wb_valid, io.wb.map(_.bits))
236  val s0_out_valid = RegNext(oldest._1(0))
237  val s0_out_bits = RegNext(oldest._2(0))
238
239  val s1_out_bits = RegNext(s0_out_bits)
240  val s1_out_valid = RegNext(s0_out_valid && (!s0_out_bits.robIdx.needFlush(io.redirect) || io.flush))
241
242  val enq_valid = RegNext(in_enq_valid.asUInt.orR && !io.redirect.valid && !io.flush)
243  val enq_bits = RegNext(ParallelPriorityMux(in_enq_valid, io.enq.map(_.bits)))
244
245  // s2: compare the input exception with the current one
246  // priorities:
247  // (1) system reset
248  // (2) current is valid: flush, remain, merge, update
249  // (3) current is not valid: s1 or enq
250  val current_flush = current.robIdx.needFlush(io.redirect) || io.flush
251  val s1_flush = s1_out_bits.robIdx.needFlush(io.redirect) || io.flush
252  when (currentValid) {
253    when (current_flush) {
254      currentValid := Mux(s1_flush, false.B, s1_out_valid)
255    }
256    when (s1_out_valid && !s1_flush) {
257      when (isAfter(current.robIdx, s1_out_bits.robIdx)) {
258        current := s1_out_bits
259      }.elsewhen (current.robIdx === s1_out_bits.robIdx) {
260        current.exceptionVec := (s1_out_bits.exceptionVec.asUInt | current.exceptionVec.asUInt).asTypeOf(ExceptionVec())
261        current.flushPipe := s1_out_bits.flushPipe || current.flushPipe
262        current.replayInst := s1_out_bits.replayInst || current.replayInst
263        current.singleStep := s1_out_bits.singleStep || current.singleStep
264        current.trigger := (s1_out_bits.trigger.asUInt | current.trigger.asUInt).asTypeOf(new TriggerCf)
265      }
266    }
267  }.elsewhen (s1_out_valid && !s1_flush) {
268    currentValid := true.B
269    current := s1_out_bits
270  }.elsewhen (enq_valid && !(io.redirect.valid || io.flush)) {
271    currentValid := true.B
272    current := enq_bits
273  }
274
275  io.out.valid   := s1_out_valid || enq_valid && enq_bits.can_writeback
276  io.out.bits    := Mux(s1_out_valid, s1_out_bits, enq_bits)
277  io.state.valid := currentValid
278  io.state.bits  := current
279
280}
281
282class RobFlushInfo(implicit p: Parameters) extends XSBundle {
283  val ftqIdx = new FtqPtr
284  val robIdx = new RobPtr
285  val ftqOffset = UInt(log2Up(PredictWidth).W)
286  val replayInst = Bool()
287}
288
289class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter {
290
291  lazy val module = new RobImp(this)(p, params)
292}
293
294class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper)
295  with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents {
296
297  private val LduCnt = params.LduCnt
298  private val StaCnt = params.StaCnt
299
300  val io = IO(new Bundle() {
301    val hartId = Input(UInt(8.W))
302    val redirect = Input(Valid(new Redirect))
303    val enq = new RobEnqIO
304    val flushOut = ValidIO(new Redirect)
305    val exception = ValidIO(new ExceptionInfo)
306    // exu + brq
307    val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
308    val commits = Output(new RobCommitIO)
309    val rabCommits = Output(new RobCommitIO)
310    val diffCommits = Output(new DiffCommitIO)
311    val isVsetFlushPipe = Output(Bool())
312    val vconfigPdest = Output(UInt(PhyRegIdxWidth.W))
313    val lsq = new RobLsqIO
314    val robDeqPtr = Output(new RobPtr)
315    val csr = new RobCSRIO
316    val snpt = Input(new SnapshotPort)
317    val robFull = Output(Bool())
318    val headNotReady = Output(Bool())
319    val cpu_halt = Output(Bool())
320    val wfi_enable = Input(Bool())
321    val debug_ls = Flipped(new DebugLSIO)
322    val debugRobHead = Output(new DynInst)
323    val debugEnqLsq = Input(new LsqEnqIO)
324    val debugHeadLsIssue = Input(Bool())
325    val lsTopdownInfo = Vec(LduCnt, Input(new LsTopdownInfo))
326  })
327
328  val exuWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(!_.bits.params.hasStdFu)
329  val stdWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(_.bits.params.hasStdFu)
330  val fflagsWBs = io.writeback.filter(x => x.bits.fflags.nonEmpty)
331  val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty)
332  val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty)
333
334  val exuWbPorts = io.writeback.filter(!_.bits.params.hasStdFu)
335  val stdWbPorts = io.writeback.filter(_.bits.params.hasStdFu)
336  val fflagsPorts = io.writeback.filter(x => x.bits.fflags.nonEmpty)
337  val vxsatPorts = io.writeback.filter(x => x.bits.vxsat.nonEmpty)
338  val exceptionPorts = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty)
339  val numExuWbPorts = exuWBs.length
340  val numStdWbPorts = stdWBs.length
341
342
343  println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth")
344//  println(s"exuPorts: ${exuWbPorts.map(_._1.map(_.name))}")
345//  println(s"stdPorts: ${stdWbPorts.map(_._1.map(_.name))}")
346//  println(s"fflags: ${fflagsPorts.map(_._1.map(_.name))}")
347
348
349  // instvalid field
350  val valid = RegInit(VecInit(Seq.fill(RobSize)(false.B)))
351  // writeback status
352
353  val stdWritebacked = Reg(Vec(RobSize, Bool()))
354  val uopNumVec          = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W))))
355  val realDestSize       = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W))))
356  val fflagsDataModule   = RegInit(VecInit(Seq.fill(RobSize)(0.U(5.W))))
357  val vxsatDataModule    = RegInit(VecInit(Seq.fill(RobSize)(false.B)))
358
359  def isWritebacked(ptr: UInt): Bool = {
360    !uopNumVec(ptr).orR && stdWritebacked(ptr)
361  }
362
363  val mmio = RegInit(VecInit(Seq.fill(RobSize)(false.B)))
364
365  // data for redirect, exception, etc.
366  val flagBkup = Mem(RobSize, Bool())
367  // some instructions are not allowed to trigger interrupts
368  // They have side effects on the states of the processor before they write back
369  val interrupt_safe = Mem(RobSize, Bool())
370
371  // data for debug
372  // Warn: debug_* prefix should not exist in generated verilog.
373  val debug_microOp = Mem(RobSize, new DynInst)
374  val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W)))//for debug
375  val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle))//for debug
376  val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init)))
377  val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init)))
378  val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B))
379  val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B))
380
381  // pointers
382  // For enqueue ptr, we don't duplicate it since only enqueue needs it.
383  val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr))
384  val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr))
385
386  val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr))
387  val lastWalkPtr = Reg(new RobPtr)
388  val allowEnqueue = RegInit(true.B)
389
390  val enqPtr = enqPtrVec.head
391  val deqPtr = deqPtrVec(0)
392  val walkPtr = walkPtrVec(0)
393
394  val isEmpty = enqPtr === deqPtr
395  val isReplaying = io.redirect.valid && RedirectLevel.flushItself(io.redirect.bits.level)
396
397  val snptEnq = io.enq.canAccept && io.enq.req.head.valid && io.enq.req.head.bits.snapshot
398  val snapshots = SnapshotGenerator(enqPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid)
399  val debug_lsIssue = WireDefault(debug_lsIssued)
400  debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue
401
402  /**
403    * states of Rob
404    */
405  val s_idle :: s_walk :: Nil = Enum(2)
406  val state = RegInit(s_idle)
407
408  /**
409    * Data Modules
410    *
411    * CommitDataModule: data from dispatch
412    * (1) read: commits/walk/exception
413    * (2) write: enqueue
414    *
415    * WritebackData: data from writeback
416    * (1) read: commits/walk/exception
417    * (2) write: write back from exe units
418    */
419  val dispatchData = Module(new SyncDataModuleTemplate(new RobDispatchData, RobSize, CommitWidth, RenameWidth))
420  val dispatchDataRead = dispatchData.io.rdata
421
422  val exceptionGen = Module(new ExceptionGen(params))
423  val exceptionDataRead = exceptionGen.io.state
424  val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W)))
425  val vxsatDataRead = Wire(Vec(CommitWidth, Bool()))
426
427  io.robDeqPtr := deqPtr
428  io.debugRobHead := debug_microOp(deqPtr.value)
429
430  val rab = Module(new RenameBuffer(RabSize))
431
432  rab.io.redirect.valid := io.redirect.valid
433
434  rab.io.req.zip(io.enq.req).map { case (dest, src) =>
435    dest.bits := src.bits
436    dest.valid := src.valid && io.enq.canAccept
437  }
438
439  val commitDestSizeSeq = (0 until CommitWidth).map(i => realDestSize(deqPtrVec(i).value))
440  val walkDestSizeSeq = (0 until CommitWidth).map(i => realDestSize(walkPtrVec(i).value))
441
442  val commitSizeSum = io.commits.commitValid.zip(commitDestSizeSeq).map { case (commitValid, destSize) =>
443    Mux(io.commits.isCommit && commitValid, destSize, 0.U)
444  }.reduce(_ +& _)
445  val walkSizeSum = io.commits.walkValid.zip(walkDestSizeSeq).map { case (walkValid, destSize) =>
446    Mux(io.commits.isWalk && walkValid, destSize, 0.U)
447  }.reduce(_ +& _)
448
449  rab.io.fromRob.commitSize := commitSizeSum
450  rab.io.fromRob.walkSize := walkSizeSum
451  rab.io.snpt.snptEnq := false.B
452  rab.io.snpt.snptDeq := io.snpt.snptDeq
453  rab.io.snpt.snptSelect := io.snpt.snptSelect
454  rab.io.snpt.useSnpt := io.snpt.useSnpt
455
456  io.rabCommits := rab.io.commits
457  io.diffCommits := rab.io.diffCommits
458
459  /**
460    * Enqueue (from dispatch)
461    */
462  // special cases
463  val hasBlockBackward = RegInit(false.B)
464  val hasWaitForward = RegInit(false.B)
465  val doingSvinval = RegInit(false.B)
466  // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B
467  // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty.
468  when (isEmpty) { hasBlockBackward:= false.B }
469  // When any instruction commits, hasNoSpecExec should be set to false.B
470  when (io.commits.hasWalkInstr || io.commits.hasCommitInstr) { hasWaitForward:= false.B }
471
472  // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing.
473  // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle.
474  // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts.
475  val hasWFI = RegInit(false.B)
476  io.cpu_halt := hasWFI
477  // WFI Timeout: 2^20 = 1M cycles
478  val wfi_cycles = RegInit(0.U(20.W))
479  when (hasWFI) {
480    wfi_cycles := wfi_cycles + 1.U
481  }.elsewhen (!hasWFI && RegNext(hasWFI)) {
482    wfi_cycles := 0.U
483  }
484  val wfi_timeout = wfi_cycles.andR
485  when (RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) {
486    hasWFI := false.B
487  }
488
489  val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop)))))
490  io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq
491  io.enq.resp      := allocatePtrVec
492  val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept))
493  val timer = GTimer()
494  for (i <- 0 until RenameWidth) {
495    // we don't check whether io.redirect is valid here since redirect has higher priority
496    when (canEnqueue(i)) {
497      val enqUop = io.enq.req(i).bits
498      val enqIndex = allocatePtrVec(i).value
499      // store uop in data module and debug_microOp Vec
500      debug_microOp(enqIndex) := enqUop
501      debug_microOp(enqIndex).debugInfo.dispatchTime := timer
502      debug_microOp(enqIndex).debugInfo.enqRsTime := timer
503      debug_microOp(enqIndex).debugInfo.selectTime := timer
504      debug_microOp(enqIndex).debugInfo.issueTime := timer
505      debug_microOp(enqIndex).debugInfo.writebackTime := timer
506      debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer
507      debug_microOp(enqIndex).debugInfo.tlbRespTime := timer
508      debug_lsInfo(enqIndex) := DebugLsInfo.init
509      debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init
510      debug_lqIdxValid(enqIndex) := false.B
511      debug_lsIssued(enqIndex) := false.B
512
513      when (enqUop.blockBackward) {
514        hasBlockBackward := true.B
515      }
516      when (enqUop.waitForward) {
517        hasWaitForward := true.B
518      }
519      val enqHasTriggerHit = false.B // io.enq.req(i).bits.cf.trigger.getHitFrontend
520      val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR
521      // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process
522      when(!enqHasTriggerHit && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe))
523      {
524        doingSvinval := true.B
525      }
526      // the end instruction of Svinval enqs so clear doingSvinval
527      when(!enqHasTriggerHit && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe))
528      {
529        doingSvinval := false.B
530      }
531      // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear
532      assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe)))
533      when (enqUop.isWFI && !enqHasException && !enqHasTriggerHit) {
534        hasWFI := true.B
535      }
536
537      mmio(enqIndex) := false.B
538    }
539  }
540  val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U)
541  io.enq.isEmpty   := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR)
542
543  when (!io.wfi_enable) {
544    hasWFI := false.B
545  }
546  // sel vsetvl's flush position
547  val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3)
548  val vsetvlState = RegInit(vs_idle)
549
550  val firstVInstrFtqPtr    = RegInit(0.U.asTypeOf(new FtqPtr))
551  val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W)))
552  val firstVInstrRobIdx    = RegInit(0.U.asTypeOf(new RobPtr))
553
554  val enq0            = io.enq.req(0)
555  val enq0IsVset      = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0)
556  val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe
557  val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map{case (req, fire) => FuType.isVpu(req.bits.fuType) && fire}
558  // for vs_idle
559  val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType)))
560  // for vs_waitVinstr
561  val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1)
562  val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req)
563  when(vsetvlState === vs_idle){
564    firstVInstrFtqPtr    := firstVInstrIdle.bits.ftqPtr
565    firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset
566    firstVInstrRobIdx    := firstVInstrIdle.bits.robIdx
567  }.elsewhen(vsetvlState === vs_waitVinstr){
568    when(Cat(enqIsVInstrOrVset).orR){
569      firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr
570      firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset
571      firstVInstrRobIdx := firstVInstrWait.bits.robIdx
572    }
573  }
574
575  val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR
576  when(vsetvlState === vs_idle && !io.redirect.valid){
577    when(enq0IsVsetFlush){
578      vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr)
579    }
580  }.elsewhen(vsetvlState === vs_waitVinstr){
581    when(io.redirect.valid){
582      vsetvlState := vs_idle
583    }.elsewhen(Cat(enqIsVInstrOrVset).orR){
584      vsetvlState := vs_waitFlush
585    }
586  }.elsewhen(vsetvlState === vs_waitFlush){
587    when(io.redirect.valid){
588      vsetvlState := vs_idle
589    }
590  }
591
592  // lqEnq
593  io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) =>
594    when(io.debugEnqLsq.canAccept && alloc && req.valid) {
595      debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx
596      debug_lqIdxValid(req.bits.robIdx.value) := true.B
597    }
598  }
599
600  // lsIssue
601  when(io.debugHeadLsIssue) {
602    debug_lsIssued(deqPtr.value) := true.B
603  }
604
605  /**
606    * Writeback (from execution units)
607    */
608  for (wb <- exuWBs) {
609    when (wb.valid) {
610      val wbIdx = wb.bits.robIdx.value
611      debug_exuData(wbIdx) := wb.bits.data
612      debug_exuDebug(wbIdx) := wb.bits.debug
613      debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime
614      debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime
615      debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime
616      debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime
617
618      // debug for lqidx and sqidx
619      debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr))
620      debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr))
621
622      val debug_Uop = debug_microOp(wbIdx)
623      XSInfo(true.B,
624        p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " +
625        p"data 0x${Hexadecimal(wb.bits.data)} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " +
626        p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n"
627      )
628    }
629  }
630
631  val writebackNum = PopCount(exuWBs.map(_.valid))
632  XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum)
633
634  for (i <- 0 until LoadPipelineWidth) {
635    when (RegNext(io.lsq.mmio(i))) {
636      mmio(RegNext(io.lsq.uop(i).robIdx).value) := true.B
637    }
638  }
639
640  /**
641    * RedirectOut: Interrupt and Exceptions
642    */
643  val deqDispatchData = dispatchDataRead(0)
644  val debug_deqUop = debug_microOp(deqPtr.value)
645
646  val intrBitSetReg = RegNext(io.csr.intrBitSet)
647  val intrEnable = intrBitSetReg && !hasWaitForward && interrupt_safe(deqPtr.value)
648  val deqHasExceptionOrFlush = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr
649  val deqHasException = deqHasExceptionOrFlush && (exceptionDataRead.bits.exceptionVec.asUInt.orR ||
650    exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.hit)
651  val deqHasFlushPipe = deqHasExceptionOrFlush && exceptionDataRead.bits.flushPipe
652  val deqHasReplayInst = deqHasExceptionOrFlush && exceptionDataRead.bits.replayInst
653  val exceptionEnable = isWritebacked(deqPtr.value) && deqHasException
654
655  XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n")
656  XSDebug(deqHasException && exceptionDataRead.bits.trigger.getHitFrontend, "Debug Mode: Deq has frontend trigger exception\n")
657  XSDebug(deqHasException && exceptionDataRead.bits.trigger.getHitBackend, "Debug Mode: Deq has backend trigger exception\n")
658
659  val isFlushPipe = isWritebacked(deqPtr.value) && (deqHasFlushPipe || deqHasReplayInst)
660
661  val isVsetFlushPipe = isWritebacked(deqPtr.value) && deqHasFlushPipe && exceptionDataRead.bits.isVset
662//  val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush)
663  val needModifyFtqIdxOffset = false.B
664  io.isVsetFlushPipe := isVsetFlushPipe
665  io.vconfigPdest := rab.io.vconfigPdest
666  // io.flushOut will trigger redirect at the next cycle.
667  // Block any redirect or commit at the next cycle.
668  val lastCycleFlush = RegNext(io.flushOut.valid)
669
670  io.flushOut.valid := (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable || isFlushPipe) && !lastCycleFlush
671  io.flushOut.bits := DontCare
672  io.flushOut.bits.isRVC := deqDispatchData.isRVC
673  io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr)
674  io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx)
675  io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset)
676  io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || exceptionEnable || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next"
677  io.flushOut.bits.interrupt := true.B
678  XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable)
679  XSPerfAccumulate("exception_num", io.flushOut.valid && exceptionEnable)
680  XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe)
681  XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst)
682
683  val exceptionHappen = (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable) && !lastCycleFlush
684  io.exception.valid                := RegNext(exceptionHappen)
685  io.exception.bits.pc              := RegEnable(debug_deqUop.pc, exceptionHappen)
686  io.exception.bits.instr           := RegEnable(debug_deqUop.instr, exceptionHappen)
687  io.exception.bits.commitType      := RegEnable(deqDispatchData.commitType, exceptionHappen)
688  io.exception.bits.exceptionVec    := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen)
689  io.exception.bits.singleStep      := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen)
690  io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen)
691  io.exception.bits.isInterrupt     := RegEnable(intrEnable, exceptionHappen)
692//  io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen)
693
694  XSDebug(io.flushOut.valid,
695    p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " +
696    p"excp $exceptionEnable flushPipe $isFlushPipe " +
697    p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n")
698
699
700  /**
701    * Commits (and walk)
702    * They share the same width.
703    */
704  val shouldWalkVec = VecInit(walkPtrVec.map(_ <= lastWalkPtr))
705  val walkFinished = VecInit(walkPtrVec.map(_ >= lastWalkPtr)).asUInt.orR
706  rab.io.fromRob.walkEnd := state === s_walk && walkFinished
707
708  require(RenameWidth <= CommitWidth)
709
710  // wiring to csr
711  val (wflags, fpWen) = (0 until CommitWidth).map(i => {
712    val v = io.commits.commitValid(i)
713    val info = io.commits.info(i)
714    (v & info.wflags, v & info.fpWen)
715  }).unzip
716  val fflags = Wire(Valid(UInt(5.W)))
717  fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR
718  fflags.bits := wflags.zip(fflagsDataRead).map({
719    case (w, f) => Mux(w, f, 0.U)
720  }).reduce(_|_)
721  val dirty_fs = io.commits.isCommit && VecInit(fpWen).asUInt.orR
722
723  val vxsat = Wire(Valid(Bool()))
724  vxsat.valid := io.commits.isCommit && vxsat.bits
725  vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map {
726    case (valid, vxsat) => valid & vxsat
727  }.reduce(_ | _)
728
729  // when mispredict branches writeback, stop commit in the next 2 cycles
730  // TODO: don't check all exu write back
731  val misPredWb = Cat(VecInit(redirectWBs.map(wb =>
732    wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid
733  ))).orR
734  val misPredBlockCounter = Reg(UInt(3.W))
735  misPredBlockCounter := Mux(misPredWb,
736    "b111".U,
737    misPredBlockCounter >> 1.U
738  )
739  val misPredBlock = misPredBlockCounter(0)
740  val blockCommit = misPredBlock || isReplaying || lastCycleFlush || hasWFI
741
742  io.commits.isWalk := state === s_walk
743  io.commits.isCommit := state === s_idle && !blockCommit
744  val walk_v = VecInit(walkPtrVec.map(ptr => valid(ptr.value)))
745  val commit_v = VecInit(deqPtrVec.map(ptr => valid(ptr.value)))
746  // store will be commited iff both sta & std have been writebacked
747  val commit_w = VecInit(deqPtrVec.map(ptr => isWritebacked(ptr.value)))
748  val commit_exception = exceptionDataRead.valid && !isAfter(exceptionDataRead.bits.robIdx, deqPtrVec.last)
749  val commit_block = VecInit((0 until CommitWidth).map(i => !commit_w(i)))
750  val allowOnlyOneCommit = commit_exception || intrBitSetReg
751  // for instructions that may block others, we don't allow them to commit
752  for (i <- 0 until CommitWidth) {
753    // defaults: state === s_idle and instructions commit
754    // when intrBitSetReg, allow only one instruction to commit at each clock cycle
755    val isBlocked = if (i != 0) Cat(commit_block.take(i)).orR || allowOnlyOneCommit else intrEnable || deqHasException || deqHasReplayInst
756    io.commits.commitValid(i) := commit_v(i) && commit_w(i) && !isBlocked
757    io.commits.info(i) := dispatchDataRead(i)
758    io.commits.robIdx(i) := deqPtrVec(i)
759
760    when (state === s_walk) {
761      io.commits.walkValid(i) := shouldWalkVec(i)
762      when (io.commits.isWalk && state === s_walk && shouldWalkVec(i)) {
763        XSError(!walk_v(i), s"The walking entry($i) should be valid\n")
764      }
765    }
766
767    XSInfo(io.commits.isCommit && io.commits.commitValid(i),
768      "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n",
769      debug_microOp(deqPtrVec(i).value).pc,
770      io.commits.info(i).rfWen,
771      io.commits.info(i).ldest,
772      io.commits.info(i).pdest,
773      debug_exuData(deqPtrVec(i).value),
774      fflagsDataRead(i),
775      vxsatDataRead(i)
776    )
777    XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n",
778      debug_microOp(walkPtrVec(i).value).pc,
779      io.commits.info(i).rfWen,
780      io.commits.info(i).ldest,
781      debug_exuData(walkPtrVec(i).value)
782    )
783  }
784  if (env.EnableDifftest) {
785    io.commits.info.map(info => dontTouch(info.pc))
786  }
787
788  // sync fflags/dirty_fs/vxsat to csr
789  io.csr.fflags := RegNext(fflags)
790  io.csr.dirty_fs := RegNext(dirty_fs)
791  io.csr.vxsat := RegNext(vxsat)
792
793  // sync v csr to csr
794  // for difftest
795  if(env.AlwaysBasicDiff || env.EnableDifftest) {
796    val isDiffWriteVconfigVec = io.diffCommits.commitValid.zip(io.diffCommits.info).map { case (valid, info) => valid && info.ldest === VCONFIG_IDX.U && info.vecWen }.reverse
797    io.csr.vcsrFlag := RegNext(io.diffCommits.isCommit && Cat(isDiffWriteVconfigVec).orR)
798  }
799  else{
800    io.csr.vcsrFlag := false.B
801  }
802
803  // commit load/store to lsq
804  val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD))
805  val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE))
806  io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U))
807  io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U))
808  // indicate a pending load or store
809  io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && valid(deqPtr.value) && mmio(deqPtr.value))
810  io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && valid(deqPtr.value))
811  io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0))
812  io.lsq.pendingPtr := RegNext(deqPtr)
813
814  /**
815    * state changes
816    * (1) redirect: switch to s_walk
817    * (2) walk: when walking comes to the end, switch to s_idle
818    */
819  val state_next = Mux(io.redirect.valid, s_walk, Mux(state === s_walk && walkFinished && rab.io.status.walkEnd, s_idle, state))
820  XSPerfAccumulate("s_idle_to_idle",            state === s_idle && state_next === s_idle)
821  XSPerfAccumulate("s_idle_to_walk",            state === s_idle && state_next === s_walk)
822  XSPerfAccumulate("s_walk_to_idle",            state === s_walk && state_next === s_idle)
823  XSPerfAccumulate("s_walk_to_walk",            state === s_walk && state_next === s_walk)
824  state := state_next
825
826  /**
827    * pointers and counters
828    */
829  val deqPtrGenModule = Module(new RobDeqPtrWrapper)
830  deqPtrGenModule.io.state := state
831  deqPtrGenModule.io.deq_v := commit_v
832  deqPtrGenModule.io.deq_w := commit_w
833  deqPtrGenModule.io.exception_state := exceptionDataRead
834  deqPtrGenModule.io.intrBitSetReg := intrBitSetReg
835  deqPtrGenModule.io.hasNoSpecExec := hasWaitForward
836  deqPtrGenModule.io.interrupt_safe := interrupt_safe(deqPtr.value)
837  deqPtrGenModule.io.blockCommit := blockCommit
838  deqPtrVec := deqPtrGenModule.io.out
839  val deqPtrVec_next = deqPtrGenModule.io.next_out
840
841  val enqPtrGenModule = Module(new RobEnqPtrWrapper)
842  enqPtrGenModule.io.redirect := io.redirect
843  enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq
844  enqPtrGenModule.io.hasBlockBackward := hasBlockBackward
845  enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop))
846  enqPtrVec := enqPtrGenModule.io.out
847
848  // next walkPtrVec:
849  // (1) redirect occurs: update according to state
850  // (2) walk: move forwards
851  val walkPtrVec_next = Mux(io.redirect.valid,
852    Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect), deqPtrVec_next),
853    Mux(state === s_walk, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec)
854  )
855  walkPtrVec := walkPtrVec_next
856
857  val numValidEntries = distanceBetween(enqPtr, deqPtr)
858  val commitCnt = PopCount(io.commits.commitValid)
859
860  allowEnqueue := numValidEntries + dispatchNum <= (RobSize - RenameWidth).U
861
862  val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0))
863  when (io.redirect.valid) {
864    lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx)
865  }
866
867
868  /**
869    * States
870    * We put all the stage bits changes here.
871
872    * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit);
873    * All states: (1) valid; (2) writebacked; (3) flagBkup
874    */
875  val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value)))
876
877  // redirect logic writes 6 valid
878  val redirectHeadVec = Reg(Vec(RenameWidth, new RobPtr))
879  val redirectTail = Reg(new RobPtr)
880  val redirectIdle :: redirectBusy :: Nil = Enum(2)
881  val redirectState = RegInit(redirectIdle)
882  val invMask = redirectHeadVec.map(redirectHead => isBefore(redirectHead, redirectTail))
883  when(redirectState === redirectBusy) {
884    redirectHeadVec.foreach(redirectHead => redirectHead := redirectHead + RenameWidth.U)
885    redirectHeadVec zip invMask foreach {
886      case (redirectHead, inv) => when(inv) {
887        valid(redirectHead.value) := false.B
888      }
889    }
890    when(!invMask.last) {
891      redirectState := redirectIdle
892    }
893  }
894  when(io.redirect.valid) {
895    redirectState := redirectBusy
896    when(redirectState === redirectIdle) {
897      redirectTail := enqPtr
898    }
899    redirectHeadVec.zipWithIndex.foreach { case (redirectHead, i) =>
900      redirectHead := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U)
901    }
902  }
903  // enqueue logic writes 6 valid
904  for (i <- 0 until RenameWidth) {
905    when (canEnqueue(i) && !io.redirect.valid) {
906      valid(allocatePtrVec(i).value) := true.B
907    }
908  }
909  // dequeue logic writes 6 valid
910  for (i <- 0 until CommitWidth) {
911    val commitValid = io.commits.isCommit && io.commits.commitValid(i)
912    when (commitValid) {
913      valid(commitReadAddr(i)) := false.B
914    }
915  }
916
917  // debug_inst update
918  for(i <- 0 until (LduCnt + StaCnt)) {
919    debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i))
920    debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i))
921  }
922  for (i <- 0 until LduCnt) {
923    debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i))
924    debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i))
925  }
926
927  // writeback logic set numWbPorts writebacked to true
928  val blockWbSeq = Wire(Vec(exuWBs.length, Bool()))
929  blockWbSeq.map(_ := false.B)
930  for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) {
931    when(wb.valid) {
932      val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR
933      val wbHasTriggerHit = false.B //Todo: wb.bits.trigger.getHitBackend
934      val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B)
935      val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst
936      blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerHit
937    }
938  }
939
940  // if the first uop of an instruction is valid , write writebackedCounter
941  val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid)
942  val instEnqValidSeq = io.enq.req.map (req => io.enq.canAccept && req.valid && req.bits.firstUop)
943  val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf)
944  val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value)
945  val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops))
946  val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove))
947
948  private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map {
949    req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType)
950  })
951  val fflags_wb = fflagsPorts
952  val vxsat_wb = vxsatPorts
953  for(i <- 0 until RobSize){
954
955    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U)
956    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch }
957    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch }
958    val instCanEnqFlag = Cat(instCanEnqSeq).orR
959
960    realDestSize(i) := Mux(!valid(i) && instCanEnqFlag || valid(i), realDestSize(i) + PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map{ case(writeFlag, valid) => writeFlag && valid }), 0.U)
961
962    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
963    val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec)
964    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
965
966    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
967    val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map{ case(canWb, blockWb) => canWb && !blockWb }
968    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U))
969    val wbCnt = PopCount(canWbNoBlockSeq)
970
971    val exceptionHas = RegInit(false.B)
972    val exceptionHasWire = Wire(Bool())
973    exceptionHasWire := MuxCase(exceptionHas, Seq(
974      (valid(i) && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === i.U) -> true.B,
975      !valid(i) -> false.B
976    ))
977    exceptionHas := exceptionHasWire
978
979    when (exceptionHas || exceptionHasWire) {
980      // exception flush
981      uopNumVec(i) := 0.U
982      stdWritebacked(i) := true.B
983    }.elsewhen(!valid(i) && instCanEnqFlag) {
984      // enq set num of uops
985      uopNumVec(i) := enqUopNum
986      stdWritebacked(i) := Mux(enqWriteStd, false.B, true.B)
987    }.elsewhen(valid(i)) {
988      // update by writing back
989      uopNumVec(i) := uopNumVec(i) - wbCnt
990      when (canStdWbSeq.asUInt.orR) {
991        stdWritebacked(i) := true.B
992      }
993    }.otherwise {
994      uopNumVec(i) := 0.U
995    }
996
997    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B))
998    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
999    fflagsDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, fflagsDataModule(i) | fflagsRes)
1000
1001    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
1002    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
1003    vxsatDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, vxsatDataModule(i) | vxsatRes)
1004  }
1005
1006  // flagBkup
1007  // enqueue logic set 6 flagBkup at most
1008  for (i <- 0 until RenameWidth) {
1009    when (canEnqueue(i)) {
1010      flagBkup(allocatePtrVec(i).value) := allocatePtrVec(i).flag
1011    }
1012  }
1013
1014  // interrupt_safe
1015  for (i <- 0 until RenameWidth) {
1016    // We RegNext the updates for better timing.
1017    // Note that instructions won't change the system's states in this cycle.
1018    when (RegNext(canEnqueue(i))) {
1019      // For now, we allow non-load-store instructions to trigger interrupts
1020      // For MMIO instructions, they should not trigger interrupts since they may
1021      // be sent to lower level before it writes back.
1022      // However, we cannot determine whether a load/store instruction is MMIO.
1023      // Thus, we don't allow load/store instructions to trigger an interrupt.
1024      // TODO: support non-MMIO load-store instructions to trigger interrupts
1025      val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType)
1026      interrupt_safe(RegNext(allocatePtrVec(i).value)) := RegNext(allow_interrupts)
1027    }
1028  }
1029
1030  /**
1031    * read and write of data modules
1032    */
1033  val commitReadAddr_next = Mux(state_next === s_idle,
1034    VecInit(deqPtrVec_next.map(_.value)),
1035    VecInit(walkPtrVec_next.map(_.value))
1036  )
1037  dispatchData.io.wen := canEnqueue
1038  dispatchData.io.waddr := allocatePtrVec.map(_.value)
1039  dispatchData.io.wdata.zip(io.enq.req.map(_.bits)).zipWithIndex.foreach { case ((wdata, req), portIdx) =>
1040    wdata.ldest := req.ldest
1041    wdata.rfWen := req.rfWen
1042    wdata.fpWen := req.fpWen
1043    wdata.vecWen := req.vecWen
1044    wdata.wflags := req.wfflags
1045    wdata.commitType := req.commitType
1046    wdata.pdest := req.pdest
1047    wdata.ftqIdx := req.ftqPtr
1048    wdata.ftqOffset := req.ftqOffset
1049    wdata.isMove := req.eliminatedMove
1050    wdata.isRVC := req.preDecodeInfo.isRVC
1051    wdata.pc := req.pc
1052    wdata.vtype := req.vpu.vtype
1053    wdata.isVset := req.isVset
1054    wdata.instrSize := req.instrSize
1055  }
1056  dispatchData.io.raddr := commitReadAddr_next
1057
1058  exceptionGen.io.redirect <> io.redirect
1059  exceptionGen.io.flush := io.flushOut.valid
1060
1061  val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept))
1062  for (i <- 0 until RenameWidth) {
1063    exceptionGen.io.enq(i).valid := canEnqueueEG(i)
1064    exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx
1065    exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec)
1066    exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe
1067    exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset
1068    exceptionGen.io.enq(i).bits.replayInst := false.B
1069    XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst")
1070    exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep
1071    exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix
1072    exceptionGen.io.enq(i).bits.trigger.clear()
1073    exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit
1074  }
1075
1076  println(s"ExceptionGen:")
1077  println(s"num of exceptions: ${params.numException}")
1078  require(exceptionWBs.length == exceptionGen.io.wb.length,
1079    f"exceptionWBs.length: ${exceptionWBs.length}, " +
1080      f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}")
1081  for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) {
1082    exc_wb.valid                := wb.valid
1083    exc_wb.bits.robIdx          := wb.bits.robIdx
1084    exc_wb.bits.exceptionVec    := wb.bits.exceptionVec.get
1085    exc_wb.bits.flushPipe       := wb.bits.flushPipe.getOrElse(false.B)
1086    exc_wb.bits.isVset          := false.B
1087    exc_wb.bits.replayInst      := wb.bits.replay.getOrElse(false.B)
1088    exc_wb.bits.singleStep      := false.B
1089    exc_wb.bits.crossPageIPFFix := false.B
1090    exc_wb.bits.trigger         := 0.U.asTypeOf(exc_wb.bits.trigger) // Todo
1091//    println(s"  [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " +
1092//      s"flushPipe ${configs.exists(_.flushPipe)}, " +
1093//      s"replayInst ${configs.exists(_.replayInst)}")
1094  }
1095
1096  fflagsDataRead := (0 until CommitWidth).map(i => fflagsDataModule(deqPtrVec(i).value))
1097  vxsatDataRead := (0 until CommitWidth).map(i => vxsatDataModule(deqPtrVec(i).value))
1098
1099  val instrCntReg = RegInit(0.U(64.W))
1100  val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) })
1101  val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt
1102  val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U)
1103  val instrCnt = instrCntReg + retireCounter
1104  instrCntReg := instrCnt
1105  io.csr.perfinfo.retiredInstr := retireCounter
1106  io.robFull := !allowEnqueue
1107  io.headNotReady := commit_v.head && !commit_w.head
1108
1109  /**
1110    * debug info
1111    */
1112  XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n")
1113  XSDebug("")
1114  XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n")
1115  for(i <- 0 until RobSize) {
1116    XSDebug(false, !valid(i), "-")
1117    XSDebug(false, valid(i) && isWritebacked(i.U), "w")
1118    XSDebug(false, valid(i) && !isWritebacked(i.U), "v")
1119  }
1120  XSDebug(false, true.B, "\n")
1121
1122  for(i <- 0 until RobSize) {
1123    if (i % 4 == 0) XSDebug("")
1124    XSDebug(false, true.B, "%x ", debug_microOp(i).pc)
1125    XSDebug(false, !valid(i), "- ")
1126    XSDebug(false, valid(i) && isWritebacked(i.U), "w ")
1127    XSDebug(false, valid(i) && !isWritebacked(i.U), "v ")
1128    if (i % 4 == 3) XSDebug(false, true.B, "\n")
1129  }
1130
1131  def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U)
1132  def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U)
1133
1134  val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_))
1135  XSPerfAccumulate("clock_cycle", 1.U)
1136  QueuePerf(RobSize, PopCount((0 until RobSize).map(valid(_))), !allowEnqueue)
1137  XSPerfAccumulate("commitUop", ifCommit(commitCnt))
1138  XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt))
1139  val commitIsMove = commitDebugUop.map(_.isMove)
1140  XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m })))
1141  val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove)
1142  XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e })))
1143  XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt))
1144  val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD)
1145  val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map{ case (v, t) => v && t }
1146  XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid)))
1147  val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH)
1148  val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map{ case (v, t) => v && t }
1149  XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid)))
1150  val commitLoadWaitBit = commitDebugUop.map(_.loadWaitBit)
1151  XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w })))
1152  val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE)
1153  XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t })))
1154  XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => valid(i) && isWritebacked(i.U))))
1155  // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire)))
1156  // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready)))
1157  XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U))
1158  XSPerfAccumulate("walkCycle", state === s_walk)
1159  val deqNotWritebacked = valid(deqPtr.value) && !isWritebacked(deqPtr.value)
1160  val deqUopCommitType = io.commits.info(0).commitType
1161  XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL)
1162  XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH)
1163  XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD)
1164  XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE)
1165  XSPerfAccumulate("robHeadPC", io.commits.info(0).pc)
1166  XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map{case(valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U}))
1167  (2 to RenameWidth).foreach(i =>
1168    XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map{case(valid, info) => io.commits.isCommit && valid && info.instrSize === i.U}))
1169  )
1170  XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _))
1171  val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime)
1172  val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime)
1173  val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime)
1174  val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime)
1175  val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime)
1176  val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime)
1177  val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime)
1178  def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = {
1179    cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _)
1180  }
1181  for (fuType <- FuType.functionNameMap.keys) {
1182    val fuName = FuType.functionNameMap(fuType)
1183    val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U )
1184    XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType)))
1185    XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency)))
1186    XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency)))
1187    XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency)))
1188    XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency)))
1189    XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency)))
1190    XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency)))
1191    XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency)))
1192    if (fuType == FuType.fmac) {
1193      val commitIsFma = commitIsFuType.zip(commitDebugUop).map(x => x._1 && x._2.fpu.ren3 )
1194      XSPerfAccumulate(s"${fuName}_instr_cnt_fma", ifCommit(PopCount(commitIsFma)))
1195      XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute_fma", ifCommit(latencySum(commitIsFma, rsFuLatency)))
1196      XSPerfAccumulate(s"${fuName}_latency_execute_fma", ifCommit(latencySum(commitIsFma, executeLatency)))
1197    }
1198  }
1199
1200  val sourceVaddr = Wire(Valid(UInt(VAddrBits.W)))
1201  sourceVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid
1202  sourceVaddr.bits  := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits
1203  val sourcePaddr = Wire(Valid(UInt(PAddrBits.W)))
1204  sourcePaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid
1205  sourcePaddr.bits  := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits
1206  val sourceLqIdx = Wire(Valid(new LqPtr))
1207  sourceLqIdx.valid := debug_lqIdxValid(deqPtr.value)
1208  sourceLqIdx.bits  := debug_microOp(deqPtr.value).lqIdx
1209  val sourceHeadLsIssue = WireDefault(debug_lsIssue(deqPtr.value))
1210  ExcitingUtils.addSource(sourceVaddr, s"rob_head_vaddr_${coreParams.HartId}", ExcitingUtils.Perf, true)
1211  ExcitingUtils.addSource(sourcePaddr, s"rob_head_paddr_${coreParams.HartId}", ExcitingUtils.Perf, true)
1212  ExcitingUtils.addSource(sourceLqIdx, s"rob_head_lqIdx_${coreParams.HartId}", ExcitingUtils.Perf, true)
1213  ExcitingUtils.addSource(sourceHeadLsIssue, s"rob_head_ls_issue_${coreParams.HartId}", ExcitingUtils.Perf, true)
1214  // dummy sink
1215  ExcitingUtils.addSink(WireDefault(sourceLqIdx), s"rob_head_lqIdx_${coreParams.HartId}", ExcitingUtils.Perf)
1216  ExcitingUtils.addSink(WireDefault(sourcePaddr), name=s"rob_head_paddr_${coreParams.HartId}", ExcitingUtils.Perf)
1217  ExcitingUtils.addSink(WireDefault(sourceVaddr), name=s"rob_head_vaddr_${coreParams.HartId}", ExcitingUtils.Perf)
1218  ExcitingUtils.addSink(WireDefault(sourceHeadLsIssue), name=s"rob_head_ls_issue_${coreParams.HartId}", ExcitingUtils.Perf)
1219
1220  /**
1221    * DataBase info:
1222    * log trigger is at writeback valid
1223    * */
1224
1225  /**
1226    * @todo add InstInfoEntry back
1227    * @author Maxpicca-Li
1228    */
1229
1230  //difftest signals
1231  val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value
1232
1233  val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1234  val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1235
1236  for(i <- 0 until CommitWidth) {
1237    val idx = deqPtrVec(i).value
1238    wdata(i) := debug_exuData(idx)
1239    wpc(i) := SignExt(commitDebugUop(i).pc, XLEN)
1240  }
1241
1242  if (env.EnableDifftest) {
1243    for (i <- 0 until CommitWidth) {
1244      val difftest = Module(new DifftestInstrCommit)
1245      // assgin default value
1246      difftest.io := DontCare
1247
1248      difftest.io.clock    := clock
1249      difftest.io.coreid   := io.hartId
1250      difftest.io.index    := i.U
1251
1252      val ptr = deqPtrVec(i).value
1253      val uop = commitDebugUop(i)
1254      val exuOut = debug_exuDebug(ptr)
1255      val exuData = debug_exuData(ptr)
1256      difftest.io.valid    := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.isCommit)))
1257      difftest.io.pc       := RegNext(RegNext(RegNext(SignExt(uop.pc, XLEN))))
1258      difftest.io.instr    := RegNext(RegNext(RegNext(uop.instr)))
1259      difftest.io.robIdx   := RegNext(RegNext(RegNext(ZeroExt(ptr, 10))))
1260      difftest.io.lqIdx    := RegNext(RegNext(RegNext(ZeroExt(uop.lqIdx.value, 7))))
1261      difftest.io.sqIdx    := RegNext(RegNext(RegNext(ZeroExt(uop.sqIdx.value, 7))))
1262      difftest.io.isLoad   := RegNext(RegNext(RegNext(io.commits.info(i).commitType === CommitType.LOAD)))
1263      difftest.io.isStore  := RegNext(RegNext(RegNext(io.commits.info(i).commitType === CommitType.STORE)))
1264      difftest.io.special  := RegNext(RegNext(RegNext(CommitType.isFused(io.commits.info(i).commitType))))
1265      // when committing an eliminated move instruction,
1266      // we must make sure that skip is properly set to false (output from EXU is random value)
1267      difftest.io.skip     := RegNext(RegNext(RegNext(Mux(uop.eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt))))
1268      difftest.io.isRVC    := RegNext(RegNext(RegNext(uop.preDecodeInfo.isRVC)))
1269      difftest.io.rfwen    := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.info(i).rfWen && io.commits.info(i).ldest =/= 0.U)))
1270      difftest.io.fpwen    := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.info(i).fpWen)))
1271      difftest.io.wpdest   := RegNext(RegNext(RegNext(io.commits.info(i).pdest)))
1272      difftest.io.wdest    := RegNext(RegNext(RegNext(io.commits.info(i).ldest)))
1273      difftest.io.instrSize:= RegNext(RegNext(RegNext(io.commits.info(i).instrSize)))
1274      // // runahead commit hint
1275      // val runahead_commit = Module(new DifftestRunaheadCommitEvent)
1276      // runahead_commit.io.clock := clock
1277      // runahead_commit.io.coreid := io.hartId
1278      // runahead_commit.io.index := i.U
1279      // runahead_commit.io.valid := difftest.io.valid &&
1280      //   (commitBranchValid(i) || commitIsStore(i))
1281      // // TODO: is branch or store
1282      // runahead_commit.io.pc    := difftest.io.pc
1283    }
1284  }
1285  else if (env.AlwaysBasicDiff) {
1286    // These are the structures used by difftest only and should be optimized after synthesis.
1287    val dt_eliminatedMove = Mem(RobSize, Bool())
1288    val dt_isRVC = Mem(RobSize, Bool())
1289    val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle))
1290    for (i <- 0 until RenameWidth) {
1291      when (canEnqueue(i)) {
1292        dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove
1293        dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC
1294      }
1295    }
1296    for (wb <- exuWBs) {
1297      when (wb.valid) {
1298        val wbIdx = wb.bits.robIdx.value
1299        dt_exuDebug(wbIdx) := wb.bits.debug
1300      }
1301    }
1302    // Always instantiate basic difftest modules.
1303    for (i <- 0 until CommitWidth) {
1304      val commitInfo = io.commits.info(i)
1305      val ptr = deqPtrVec(i).value
1306      val exuOut = dt_exuDebug(ptr)
1307      val eliminatedMove = dt_eliminatedMove(ptr)
1308      val isRVC = dt_isRVC(ptr)
1309
1310      val difftest = Module(new DifftestBasicInstrCommit)
1311      difftest.io.clock   := clock
1312      difftest.io.coreid  := io.hartId
1313      difftest.io.index   := i.U
1314      difftest.io.valid   := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.isCommit)))
1315      difftest.io.special := RegNext(RegNext(RegNext(CommitType.isFused(commitInfo.commitType))))
1316      difftest.io.skip    := RegNext(RegNext(RegNext(Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt))))
1317      difftest.io.isRVC   := RegNext(RegNext(RegNext(isRVC)))
1318      difftest.io.rfwen   := RegNext(RegNext(RegNext(io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.ldest =/= 0.U)))
1319      difftest.io.fpwen   := RegNext(RegNext(RegNext(io.commits.commitValid(i) && commitInfo.fpWen)))
1320      difftest.io.wpdest  := RegNext(RegNext(RegNext(commitInfo.pdest)))
1321      difftest.io.wdest   := RegNext(RegNext(RegNext(commitInfo.ldest)))
1322    }
1323  }
1324
1325  if (env.EnableDifftest) {
1326    for (i <- 0 until CommitWidth) {
1327      val difftest = Module(new DifftestLoadEvent)
1328      difftest.io.clock  := clock
1329      difftest.io.coreid := io.hartId
1330      difftest.io.index  := i.U
1331
1332      val ptr = deqPtrVec(i).value
1333      val uop = commitDebugUop(i)
1334      val exuOut = debug_exuDebug(ptr)
1335      difftest.io.valid  := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.isCommit)))
1336      difftest.io.paddr  := RegNext(RegNext(RegNext(exuOut.paddr)))
1337      difftest.io.opType := RegNext(RegNext(RegNext(uop.fuOpType)))
1338      difftest.io.fuType := RegNext(RegNext(RegNext(uop.fuType)))
1339    }
1340  }
1341
1342  // Always instantiate basic difftest modules.
1343  if (env.EnableDifftest) {
1344    val dt_isXSTrap = Mem(RobSize, Bool())
1345    for (i <- 0 until RenameWidth) {
1346      when (canEnqueue(i)) {
1347        dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap
1348      }
1349    }
1350    val trapVec = io.commits.commitValid.zip(deqPtrVec).map{ case (v, d) => io.commits.isCommit && v && dt_isXSTrap(d.value) }
1351    val hitTrap = trapVec.reduce(_||_)
1352    val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1))
1353    val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 ->x._1)), XLEN)
1354    val difftest = Module(new DifftestTrapEvent)
1355    difftest.io.clock    := clock
1356    difftest.io.coreid   := io.hartId
1357    difftest.io.valid    := hitTrap
1358    difftest.io.code     := trapCode
1359    difftest.io.pc       := trapPC
1360    difftest.io.cycleCnt := timer
1361    difftest.io.instrCnt := instrCnt
1362    difftest.io.hasWFI   := hasWFI
1363  }
1364  else if (env.AlwaysBasicDiff) {
1365    val dt_isXSTrap = Mem(RobSize, Bool())
1366    for (i <- 0 until RenameWidth) {
1367      when (canEnqueue(i)) {
1368        dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap
1369      }
1370    }
1371    val trapVec = io.commits.commitValid.zip(deqPtrVec).map{ case (v, d) => io.commits.isCommit && v && dt_isXSTrap(d.value) }
1372    val hitTrap = trapVec.reduce(_||_)
1373    val difftest = Module(new DifftestBasicTrapEvent)
1374    difftest.io.clock    := clock
1375    difftest.io.coreid   := io.hartId
1376    difftest.io.valid    := hitTrap
1377    difftest.io.cycleCnt := timer
1378    difftest.io.instrCnt := instrCnt
1379  }
1380
1381  val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(valid.drop(i * 32).take(32))))
1382  val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _))
1383  val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m })
1384  val commitLoadVec = VecInit(commitLoadValid)
1385  val commitBranchVec = VecInit(commitBranchValid)
1386  val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w })
1387  val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t })
1388  val perfEvents = Seq(
1389    ("rob_interrupt_num      ", io.flushOut.valid && intrEnable                                       ),
1390    ("rob_exception_num      ", io.flushOut.valid && exceptionEnable                                  ),
1391    ("rob_flush_pipe_num     ", io.flushOut.valid && isFlushPipe                                      ),
1392    ("rob_replay_inst_num    ", io.flushOut.valid && isFlushPipe && deqHasReplayInst                  ),
1393    ("rob_commitUop          ", ifCommit(commitCnt)                                                   ),
1394    ("rob_commitInstr        ", ifCommitReg(trueCommitCnt)                                            ),
1395    ("rob_commitInstrMove    ", ifCommitReg(PopCount(RegNext(commitMoveVec)))                         ),
1396    ("rob_commitInstrFused   ", ifCommitReg(fuseCommitCnt)                                            ),
1397    ("rob_commitInstrLoad    ", ifCommitReg(PopCount(RegNext(commitLoadVec)))                         ),
1398    ("rob_commitInstrBranch  ", ifCommitReg(PopCount(RegNext(commitBranchVec)))                       ),
1399    ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec)))                     ),
1400    ("rob_commitInstrStore   ", ifCommitReg(PopCount(RegNext(commitStoreVec)))                        ),
1401    ("rob_walkInstr          ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)           ),
1402    ("rob_walkCycle          ", (state === s_walk)                                                    ),
1403    ("rob_1_4_valid          ", validEntries <= (RobSize / 4).U                                       ),
1404    ("rob_2_4_valid          ", validEntries >  (RobSize / 4).U && validEntries <= (RobSize / 2).U    ),
1405    ("rob_3_4_valid          ", validEntries >  (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U),
1406    ("rob_4_4_valid          ", validEntries >  (RobSize * 3 / 4).U                                   ),
1407  )
1408  generatePerfEvent()
1409}
1410