1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.backend.rob 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import difftest._ 23import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 24import utility._ 25import utils._ 26import xiangshan._ 27import xiangshan.backend.BackendParams 28import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 29import xiangshan.backend.fu.{FuConfig, FuType} 30import xiangshan.frontend.FtqPtr 31import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 32import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 33import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo} 34import xiangshan.backend.fu.vector.Bundles.VType 35import xiangshan.backend.rename.SnapshotGenerator 36import yunsuan.VfaluType 37import xiangshan.backend.rob.RobBundles._ 38 39class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 40 override def shouldBeInlined: Boolean = false 41 42 lazy val module = new RobImp(this)(p, params) 43} 44 45class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper) 46 with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents { 47 48 private val LduCnt = params.LduCnt 49 private val StaCnt = params.StaCnt 50 private val HyuCnt = params.HyuCnt 51 52 val io = IO(new Bundle() { 53 val hartId = Input(UInt(hartIdLen.W)) 54 val redirect = Input(Valid(new Redirect)) 55 val enq = new RobEnqIO 56 val flushOut = ValidIO(new Redirect) 57 val exception = ValidIO(new ExceptionInfo) 58 // exu + brq 59 val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles) 60 val writebackNums = Flipped(Vec(writeback.size - params.StdCnt, ValidIO(UInt(writeback.size.U.getWidth.W)))) 61 val commits = Output(new RobCommitIO) 62 val rabCommits = Output(new RabCommitIO) 63 val diffCommits = if (backendParams.debugEn) Some(Output(new DiffCommitIO)) else None 64 val isVsetFlushPipe = Output(Bool()) 65 val lsq = new RobLsqIO 66 val robDeqPtr = Output(new RobPtr) 67 val csr = new RobCSRIO 68 val snpt = Input(new SnapshotPort) 69 val robFull = Output(Bool()) 70 val headNotReady = Output(Bool()) 71 val cpu_halt = Output(Bool()) 72 val wfi_enable = Input(Bool()) 73 val toDecode = new Bundle { 74 val isResumeVType = Output(Bool()) 75 val walkVType = ValidIO(VType()) 76 val commitVType = new Bundle { 77 val vtype = ValidIO(VType()) 78 val hasVsetvl = Output(Bool()) 79 } 80 } 81 val readGPAMemAddr = ValidIO(new Bundle { 82 val ftqPtr = new FtqPtr() 83 val ftqOffset = UInt(log2Up(PredictWidth).W) 84 }) 85 val readGPAMemData = Input(UInt(GPAddrBits.W)) 86 87 val debug_ls = Flipped(new DebugLSIO) 88 val debugRobHead = Output(new DynInst) 89 val debugEnqLsq = Input(new LsqEnqIO) 90 val debugHeadLsIssue = Input(Bool()) 91 val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo)) 92 val debugTopDown = new Bundle { 93 val toCore = new RobCoreTopDownIO 94 val toDispatch = new RobDispatchTopDownIO 95 val robHeadLqIdx = Valid(new LqPtr) 96 } 97 val debugRolling = new RobDebugRollingIO 98 }) 99 100 val exuWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(!_.bits.params.hasStdFu).toSeq 101 val stdWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(_.bits.params.hasStdFu).toSeq 102 val fflagsWBs = io.writeback.filter(x => x.bits.fflags.nonEmpty) 103 val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty) 104 val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty) 105 val vxsatWBs = io.writeback.filter(x => x.bits.vxsat.nonEmpty) 106 107 val numExuWbPorts = exuWBs.length 108 val numStdWbPorts = stdWBs.length 109 val bankAddrWidth = log2Up(CommitWidth) 110 111 println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth") 112 113 val rab = Module(new RenameBuffer(RabSize)) 114 val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize)) 115 val bankNum = 8 116 assert(RobSize % bankNum == 0, "RobSize % bankNum must be 0") 117 val robEntries = Reg(Vec(RobSize, new RobEntryBundle)) 118 // pointers 119 // For enqueue ptr, we don't duplicate it since only enqueue needs it. 120 val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr)) 121 val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 122 val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr)) 123 val walkPtrTrue = Reg(new RobPtr) 124 val lastWalkPtr = Reg(new RobPtr) 125 val allowEnqueue = RegInit(true.B) 126 127 /** 128 * Enqueue (from dispatch) 129 */ 130 // special cases 131 val hasBlockBackward = RegInit(false.B) 132 val hasWaitForward = RegInit(false.B) 133 val doingSvinval = RegInit(false.B) 134 val enqPtr = enqPtrVec(0) 135 val deqPtr = deqPtrVec(0) 136 val walkPtr = walkPtrVec(0) 137 val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop))))) 138 io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq 139 io.enq.resp := allocatePtrVec 140 val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept)) 141 val timer = GTimer() 142 // robEntries enqueue 143 for (i <- 0 until RobSize) { 144 val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2)) 145 assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot") 146 when(enqOH.asUInt.orR && !io.redirect.valid){ 147 connectEnq(robEntries(i), Mux1H(enqOH, io.enq.req.map(_.bits))) 148 } 149 } 150 // robBanks0 include robidx : 0 8 16 24 32 ... 151 val robBanks = VecInit((0 until bankNum).map(i => VecInit(robEntries.zipWithIndex.filter(_._2 % bankNum == i).map(_._1)))) 152 // each Bank has 20 Entries, read addr is one hot 153 // all banks use same raddr 154 val eachBankEntrieNum = robBanks(0).length 155 val robBanksRaddrThisLine = RegInit(1.U(eachBankEntrieNum.W)) 156 val robBanksRaddrNextLine = Wire(UInt(eachBankEntrieNum.W)) 157 robBanksRaddrThisLine := robBanksRaddrNextLine 158 val bankNumWidth = log2Up(bankNum) 159 val deqPtrWidth = deqPtr.value.getWidth 160 val robIdxThisLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth), i.U(bankNumWidth.W)))) 161 val robIdxNextLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth) + 1.U, i.U(bankNumWidth.W)))) 162 // robBanks read 163 val robBanksRdataThisLine = VecInit(robBanks.map{ case bank => 164 Mux1H(robBanksRaddrThisLine, bank) 165 }) 166 val robBanksRdataNextLine = VecInit(robBanks.map{ case bank => 167 val shiftBank = bank.drop(1) :+ bank(0) 168 Mux1H(robBanksRaddrThisLine, shiftBank) 169 }) 170 val robBanksRdataThisLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle)) 171 val robBanksRdataNextLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle)) 172 val commitValidThisLine = Wire(Vec(CommitWidth, Bool())) 173 val hasCommitted = RegInit(VecInit(Seq.fill(CommitWidth)(false.B))) 174 val donotNeedWalk = RegInit(VecInit(Seq.fill(CommitWidth)(false.B))) 175 val allCommitted = Wire(Bool()) 176 177 when(allCommitted) { 178 hasCommitted := 0.U.asTypeOf(hasCommitted) 179 }.elsewhen(io.commits.isCommit){ 180 for (i <- 0 until CommitWidth){ 181 hasCommitted(i) := commitValidThisLine(i) || hasCommitted(i) 182 } 183 } 184 allCommitted := io.commits.isCommit && commitValidThisLine.last 185 val walkPtrHead = Wire(new RobPtr) 186 val changeBankAddrToDeqPtr = (walkPtrVec.head + CommitWidth.U) > lastWalkPtr 187 when(io.redirect.valid){ 188 robBanksRaddrNextLine := UIntToOH(walkPtrHead.value(walkPtrHead.value.getWidth-1, bankAddrWidth)) 189 }.elsewhen(allCommitted || io.commits.isWalk && !changeBankAddrToDeqPtr){ 190 robBanksRaddrNextLine := Mux(robBanksRaddrThisLine.head(1) === 1.U, 1.U, robBanksRaddrThisLine << 1) 191 }.elsewhen(io.commits.isWalk && changeBankAddrToDeqPtr){ 192 robBanksRaddrNextLine := UIntToOH(deqPtr.value(deqPtr.value.getWidth-1, bankAddrWidth)) 193 }.otherwise( 194 robBanksRaddrNextLine := robBanksRaddrThisLine 195 ) 196 val robDeqGroup = Reg(Vec(bankNum, new RobCommitEntryBundle)) 197 val commitInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1,0)))).toSeq 198 val walkInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(walkPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq 199 for (i <- 0 until CommitWidth) { 200 connectCommitEntry(robDeqGroup(i), robBanksRdataThisLineUpdate(i)) 201 when(allCommitted){ 202 connectCommitEntry(robDeqGroup(i), robBanksRdataNextLineUpdate(i)) 203 } 204 } 205 // data for debug 206 // Warn: debug_* prefix should not exist in generated verilog. 207 val debug_microOp = DebugMem(RobSize, new DynInst) 208 val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W))) //for debug 209 val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle)) //for debug 210 val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init))) 211 val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init))) 212 val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B)) 213 val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B)) 214 215 val isEmpty = enqPtr === deqPtr 216 val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _) 217 val snapshotPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 218 snapshotPtrVec(0) := io.enq.req(0).bits.robIdx 219 for (i <- 1 until CommitWidth) { 220 snapshotPtrVec(i) := snapshotPtrVec(0) + i.U 221 } 222 val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec) 223 val debug_lsIssue = WireDefault(debug_lsIssued) 224 debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue 225 226 /** 227 * states of Rob 228 */ 229 val s_idle :: s_walk :: Nil = Enum(2) 230 val state = RegInit(s_idle) 231 232 val exceptionGen = Module(new ExceptionGen(params)) 233 val exceptionDataRead = exceptionGen.io.state 234 val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W))) 235 val vxsatDataRead = Wire(Vec(CommitWidth, Bool())) 236 io.robDeqPtr := deqPtr 237 io.debugRobHead := debug_microOp(deqPtr.value) 238 239 /** 240 * connection of [[rab]] 241 */ 242 rab.io.redirect.valid := io.redirect.valid 243 244 rab.io.req.zip(io.enq.req).map { case (dest, src) => 245 dest.bits := src.bits 246 dest.valid := src.valid && io.enq.canAccept 247 } 248 249 val walkDestSizeDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W)))) 250 val realDestSizeSeq = VecInit(robDeqGroup.zip(hasCommitted).map{case (r, h) => Mux(h, 0.U, r.realDestSize)}) 251 val walkDestSizeSeq = VecInit(robDeqGroup.zip(donotNeedWalk).map{case (r, d) => Mux(d, 0.U, r.realDestSize)}) 252 val commitSizeSumSeq = VecInit((0 until CommitWidth).map(i => realDestSizeSeq.take(i + 1).reduce(_ +& _))) 253 val walkSizeSumSeq = VecInit((0 until CommitWidth).map(i => walkDestSizeSeq.take(i + 1).reduce(_ +& _))) 254 val commitSizeSumCond = VecInit(commitValidThisLine.zip(hasCommitted).map{case (c,h) => (c || h) && io.commits.isCommit}) 255 val walkSizeSumCond = VecInit(io.commits.walkValid.zip(donotNeedWalk).map{case (w,d) => (w || d) && io.commits.isWalk}) 256 val commitSizeSum = PriorityMuxDefault(commitSizeSumCond.reverse.zip(commitSizeSumSeq.reverse), 0.U) 257 val walkSizeSum = PriorityMuxDefault(walkSizeSumCond.reverse.zip(walkSizeSumSeq.reverse), 0.U) 258 259 rab.io.fromRob.commitSize := commitSizeSum 260 rab.io.fromRob.walkSize := walkSizeSum 261 rab.io.snpt := io.snpt 262 rab.io.snpt.snptEnq := snptEnq 263 264 io.rabCommits := rab.io.commits 265 io.diffCommits.foreach(_ := rab.io.diffCommits.get) 266 267 /** 268 * connection of [[vtypeBuffer]] 269 */ 270 271 vtypeBuffer.io.redirect.valid := io.redirect.valid 272 273 vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) => 274 sink.valid := source.valid && io.enq.canAccept 275 sink.bits := source.bits 276 } 277 278 private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset }) 279 private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(walkInfo).map { case (valid, info) => io.commits.isWalk && valid && info.isVset }) 280 vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec) 281 vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec) 282 vtypeBuffer.io.snpt := io.snpt 283 vtypeBuffer.io.snpt.snptEnq := snptEnq 284 io.toDecode.isResumeVType := vtypeBuffer.io.toDecode.isResumeVType 285 io.toDecode.commitVType := vtypeBuffer.io.toDecode.commitVType 286 io.toDecode.walkVType := vtypeBuffer.io.toDecode.walkVType 287 288 289 // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B 290 // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty. 291 when(isEmpty) { 292 hasBlockBackward := false.B 293 } 294 // When any instruction commits, hasNoSpecExec should be set to false.B 295 when(io.commits.hasWalkInstr || io.commits.hasCommitInstr) { 296 hasWaitForward := false.B 297 } 298 299 // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing. 300 // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle. 301 // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts. 302 val hasWFI = RegInit(false.B) 303 io.cpu_halt := hasWFI 304 // WFI Timeout: 2^20 = 1M cycles 305 val wfi_cycles = RegInit(0.U(20.W)) 306 when(hasWFI) { 307 wfi_cycles := wfi_cycles + 1.U 308 }.elsewhen(!hasWFI && RegNext(hasWFI)) { 309 wfi_cycles := 0.U 310 } 311 val wfi_timeout = wfi_cycles.andR 312 when(RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) { 313 hasWFI := false.B 314 } 315 316 for (i <- 0 until RenameWidth) { 317 // we don't check whether io.redirect is valid here since redirect has higher priority 318 when(canEnqueue(i)) { 319 val enqUop = io.enq.req(i).bits 320 val enqIndex = allocatePtrVec(i).value 321 // store uop in data module and debug_microOp Vec 322 debug_microOp(enqIndex) := enqUop 323 debug_microOp(enqIndex).debugInfo.dispatchTime := timer 324 debug_microOp(enqIndex).debugInfo.enqRsTime := timer 325 debug_microOp(enqIndex).debugInfo.selectTime := timer 326 debug_microOp(enqIndex).debugInfo.issueTime := timer 327 debug_microOp(enqIndex).debugInfo.writebackTime := timer 328 debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer 329 debug_microOp(enqIndex).debugInfo.tlbRespTime := timer 330 debug_lsInfo(enqIndex) := DebugLsInfo.init 331 debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init 332 debug_lqIdxValid(enqIndex) := false.B 333 debug_lsIssued(enqIndex) := false.B 334 335 when(enqUop.blockBackward) { 336 hasBlockBackward := true.B 337 } 338 when(enqUop.waitForward) { 339 hasWaitForward := true.B 340 } 341 val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire 342 val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR 343 // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process 344 when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) { 345 doingSvinval := true.B 346 } 347 // the end instruction of Svinval enqs so clear doingSvinval 348 when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) { 349 doingSvinval := false.B 350 } 351 // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear 352 assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe))) 353 when(enqUop.isWFI && !enqHasException && !enqHasTriggerCanFire) { 354 hasWFI := true.B 355 } 356 357 robEntries(enqIndex).mmio := false.B 358 robEntries(enqIndex).vls := enqUop.vlsInstr 359 } 360 } 361 val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U) 362 io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR) 363 364 when(!io.wfi_enable) { 365 hasWFI := false.B 366 } 367 // sel vsetvl's flush position 368 val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3) 369 val vsetvlState = RegInit(vs_idle) 370 371 val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr)) 372 val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W))) 373 val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr)) 374 375 val enq0 = io.enq.req(0) 376 val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0) 377 val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe 378 val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map { case (req, fire) => FuType.isVArith(req.bits.fuType) && fire } 379 // for vs_idle 380 val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType))) 381 // for vs_waitVinstr 382 val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1) 383 val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req) 384 when(vsetvlState === vs_idle) { 385 firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr 386 firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset 387 firstVInstrRobIdx := firstVInstrIdle.bits.robIdx 388 }.elsewhen(vsetvlState === vs_waitVinstr) { 389 when(Cat(enqIsVInstrOrVset).orR) { 390 firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr 391 firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset 392 firstVInstrRobIdx := firstVInstrWait.bits.robIdx 393 } 394 } 395 396 val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR 397 when(vsetvlState === vs_idle && !io.redirect.valid) { 398 when(enq0IsVsetFlush) { 399 vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr) 400 } 401 }.elsewhen(vsetvlState === vs_waitVinstr) { 402 when(io.redirect.valid) { 403 vsetvlState := vs_idle 404 }.elsewhen(Cat(enqIsVInstrOrVset).orR) { 405 vsetvlState := vs_waitFlush 406 } 407 }.elsewhen(vsetvlState === vs_waitFlush) { 408 when(io.redirect.valid) { 409 vsetvlState := vs_idle 410 } 411 } 412 413 // lqEnq 414 io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) => 415 when(io.debugEnqLsq.canAccept && alloc && req.valid) { 416 debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx 417 debug_lqIdxValid(req.bits.robIdx.value) := true.B 418 } 419 } 420 421 // lsIssue 422 when(io.debugHeadLsIssue) { 423 debug_lsIssued(deqPtr.value) := true.B 424 } 425 426 /** 427 * Writeback (from execution units) 428 */ 429 for (wb <- exuWBs) { 430 when(wb.valid) { 431 val wbIdx = wb.bits.robIdx.value 432 debug_exuData(wbIdx) := wb.bits.data 433 debug_exuDebug(wbIdx) := wb.bits.debug 434 debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime 435 debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime 436 debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime 437 debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime 438 439 // debug for lqidx and sqidx 440 debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 441 debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 442 443 val debug_Uop = debug_microOp(wbIdx) 444 XSInfo(true.B, 445 p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " + 446 p"data 0x${Hexadecimal(wb.bits.data)} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " + 447 p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n" 448 ) 449 } 450 } 451 452 val writebackNum = PopCount(exuWBs.map(_.valid)) 453 XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum) 454 455 for (i <- 0 until LoadPipelineWidth) { 456 when(RegNext(io.lsq.mmio(i))) { 457 robEntries(RegEnable(io.lsq.uop(i).robIdx, io.lsq.mmio(i)).value).mmio := true.B 458 } 459 } 460 461 462 /** 463 * RedirectOut: Interrupt and Exceptions 464 */ 465 val deqDispatchData = robEntries(deqPtr.value) 466 val debug_deqUop = debug_microOp(deqPtr.value) 467 468 val intrBitSetReg = RegNext(io.csr.intrBitSet) 469 val intrEnable = intrBitSetReg && !hasWaitForward && robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe 470 val deqHasExceptionOrFlush = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr 471 val deqHasException = deqHasExceptionOrFlush && (exceptionDataRead.bits.exceptionVec.asUInt.orR || 472 exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.canFire) 473 val deqHasFlushPipe = deqHasExceptionOrFlush && exceptionDataRead.bits.flushPipe 474 val deqHasReplayInst = deqHasExceptionOrFlush && exceptionDataRead.bits.replayInst 475 val exceptionEnable = robEntries(deqPtr.value).isWritebacked && deqHasException 476 477 XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n") 478 XSDebug(deqHasException && exceptionDataRead.bits.trigger.getFrontendCanFire, "Debug Mode: Deq has frontend trigger exception\n") 479 XSDebug(deqHasException && exceptionDataRead.bits.trigger.getBackendCanFire, "Debug Mode: Deq has backend trigger exception\n") 480 481 val isFlushPipe = robEntries(deqPtr.value).isWritebacked && (deqHasFlushPipe || deqHasReplayInst) 482 483 val isVsetFlushPipe = robEntries(deqPtr.value).isWritebacked && deqHasFlushPipe && exceptionDataRead.bits.isVset 484 // val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush) 485 val needModifyFtqIdxOffset = false.B 486 io.isVsetFlushPipe := isVsetFlushPipe 487 // io.flushOut will trigger redirect at the next cycle. 488 // Block any redirect or commit at the next cycle. 489 val lastCycleFlush = RegNext(io.flushOut.valid) 490 491 io.flushOut.valid := (state === s_idle) && robEntries(deqPtr.value).valid && (intrEnable || exceptionEnable || isFlushPipe) && !lastCycleFlush 492 io.flushOut.bits := DontCare 493 io.flushOut.bits.isRVC := deqDispatchData.isRVC 494 io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr) 495 io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx) 496 io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset) 497 io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || exceptionEnable || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next" 498 io.flushOut.bits.interrupt := true.B 499 XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable) 500 XSPerfAccumulate("exception_num", io.flushOut.valid && exceptionEnable) 501 XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe) 502 XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst) 503 504 val exceptionHappen = (state === s_idle) && robEntries(deqPtr.value).valid && (intrEnable || exceptionEnable) && !lastCycleFlush 505 io.exception.valid := RegNext(exceptionHappen) 506 io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen) 507 io.exception.bits.gpaddr := io.readGPAMemData 508 io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen) 509 io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen) 510 io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen) 511 io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen) 512 io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen) 513 io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen) 514 io.exception.bits.isHls := RegEnable(deqDispatchData.isHls, exceptionHappen) 515 io.exception.bits.vls := RegEnable(robEntries(deqPtr.value).vls, exceptionHappen) 516 io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen) 517 io.csr.vstart.valid := RegEnable(exceptionDataRead.bits.vstartEn, false.B, exceptionHappen) 518 io.csr.vstart.bits := RegEnable(exceptionDataRead.bits.vstart, exceptionHappen) 519 520 // data will be one cycle after valid 521 io.readGPAMemAddr.valid := exceptionHappen 522 io.readGPAMemAddr.bits.ftqPtr := exceptionDataRead.bits.ftqPtr 523 io.readGPAMemAddr.bits.ftqOffset := exceptionDataRead.bits.ftqOffset 524 525 XSDebug(io.flushOut.valid, 526 p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " + 527 p"excp $exceptionEnable flushPipe $isFlushPipe " + 528 p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n") 529 530 531 /** 532 * Commits (and walk) 533 * They share the same width. 534 */ 535 // T redirect.valid, T+1 use walkPtrVec read robEntries, T+2 start walk, shouldWalkVec used in T+2 536 val shouldWalkVec = Wire(Vec(CommitWidth,Bool())) 537 val walkingPtrVec = RegNext(walkPtrVec) 538 when(io.redirect.valid){ 539 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 540 }.elsewhen(RegNext(io.redirect.valid)){ 541 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 542 }.elsewhen(state === s_walk){ 543 shouldWalkVec := VecInit(walkingPtrVec.map(_ <= lastWalkPtr).zip(donotNeedWalk).map(x => x._1 && !x._2)) 544 }.otherwise( 545 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 546 ) 547 val walkFinished = walkPtrTrue > lastWalkPtr 548 rab.io.fromRob.walkEnd := state === s_walk && walkFinished 549 vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished 550 551 require(RenameWidth <= CommitWidth) 552 553 // wiring to csr 554 val (wflags, dirtyFs) = (0 until CommitWidth).map(i => { 555 val v = io.commits.commitValid(i) 556 val info = io.commits.info(i) 557 (v & info.wflags, v & info.dirtyFs) 558 }).unzip 559 val fflags = Wire(Valid(UInt(5.W))) 560 fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR 561 fflags.bits := wflags.zip(fflagsDataRead).map({ 562 case (w, f) => Mux(w, f, 0.U) 563 }).reduce(_ | _) 564 val dirtyVs = (0 until CommitWidth).map(i => { 565 val v = io.commits.commitValid(i) 566 val info = io.commits.info(i) 567 v & info.dirtyVs 568 }) 569 val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR 570 val dirty_vs = io.commits.isCommit && VecInit(dirtyVs).asUInt.orR 571 572 val vxsat = Wire(Valid(Bool())) 573 vxsat.valid := io.commits.isCommit && vxsat.bits 574 vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map { 575 case (valid, vxsat) => valid & vxsat 576 }.reduce(_ | _) 577 578 // when mispredict branches writeback, stop commit in the next 2 cycles 579 // TODO: don't check all exu write back 580 val misPredWb = Cat(VecInit(redirectWBs.map(wb => 581 wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid 582 ).toSeq)).orR 583 val misPredBlockCounter = Reg(UInt(3.W)) 584 misPredBlockCounter := Mux(misPredWb, 585 "b111".U, 586 misPredBlockCounter >> 1.U 587 ) 588 val misPredBlock = misPredBlockCounter(0) 589 val blockCommit = misPredBlock || lastCycleFlush || hasWFI || io.redirect.valid 590 591 io.commits.isWalk := state === s_walk 592 io.commits.isCommit := state === s_idle && !blockCommit 593 594 val walk_v = VecInit(walkingPtrVec.map(ptr => robEntries(ptr.value).valid)) 595 val commit_vDeqGroup = VecInit(robDeqGroup.map(_.commit_v)) 596 val commit_wDeqGroup = VecInit(robDeqGroup.map(_.commit_w)) 597 val realCommitLast = deqPtrVec(0).lineHeadPtr + Fill(bankAddrWidth, 1.U) 598 val commit_exception = exceptionDataRead.valid && !isAfter(exceptionDataRead.bits.robIdx, realCommitLast) 599 val commit_block = VecInit((0 until CommitWidth).map(i => !commit_wDeqGroup(i) && !hasCommitted(i))) 600 val allowOnlyOneCommit = commit_exception || intrBitSetReg 601 // for instructions that may block others, we don't allow them to commit 602 io.commits.commitValid := PriorityMux(commitValidThisLine, (0 until CommitWidth).map(i => (commitValidThisLine.asUInt >> i).asUInt.asTypeOf(io.commits.commitValid))) 603 for (i <- 0 until CommitWidth) { 604 // defaults: state === s_idle and instructions commit 605 // when intrBitSetReg, allow only one instruction to commit at each clock cycle 606 val isBlocked = intrEnable || deqHasException || deqHasReplayInst 607 val isBlockedByOlder = if (i != 0) commit_block.asUInt(i, 0).orR || allowOnlyOneCommit && !hasCommitted.asUInt(i - 1, 0).andR else false.B 608 commitValidThisLine(i) := commit_vDeqGroup(i) && commit_wDeqGroup(i) && !isBlocked && !isBlockedByOlder && !hasCommitted(i) 609 io.commits.info(i) := commitInfo(i) 610 io.commits.robIdx(i) := deqPtrVec(i) 611 612 io.commits.walkValid(i) := shouldWalkVec(i) 613 when(state === s_walk) { 614 when(io.commits.isWalk && state === s_walk && shouldWalkVec(i)) { 615 XSError(!walk_v(i), s"The walking entry($i) should be valid\n") 616 } 617 } 618 619 XSInfo(io.commits.isCommit && io.commits.commitValid(i), 620 "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n", 621 debug_microOp(deqPtrVec(i).value).pc, 622 io.commits.info(i).rfWen, 623 io.commits.info(i).debug_ldest.getOrElse(0.U), 624 io.commits.info(i).debug_pdest.getOrElse(0.U), 625 debug_exuData(deqPtrVec(i).value), 626 fflagsDataRead(i), 627 vxsatDataRead(i) 628 ) 629 XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n", 630 debug_microOp(walkPtrVec(i).value).pc, 631 io.commits.info(i).rfWen, 632 io.commits.info(i).debug_ldest.getOrElse(0.U), 633 debug_exuData(walkPtrVec(i).value) 634 ) 635 } 636 637 // sync fflags/dirty_fs/vxsat to csr 638 io.csr.fflags := RegNext(fflags) 639 io.csr.dirty_fs := RegNext(dirty_fs) 640 io.csr.dirty_vs := RegNext(dirty_vs) 641 io.csr.vxsat := RegNext(vxsat) 642 643 // sync v csr to csr 644 // for difftest 645 if (env.AlwaysBasicDiff || env.EnableDifftest) { 646 val isDiffWriteVconfigVec = io.diffCommits.get.commitValid.zip(io.diffCommits.get.info).map { case (valid, info) => valid && info.ldest === VCONFIG_IDX.U && info.vecWen }.reverse 647 io.csr.vcsrFlag := RegNext(io.diffCommits.get.isCommit && Cat(isDiffWriteVconfigVec).orR) 648 } 649 else { 650 io.csr.vcsrFlag := false.B 651 } 652 653 // commit load/store to lsq 654 val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD)) 655 val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE)) 656 val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr))) 657 io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U)) 658 io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U)) 659 // indicate a pending load or store 660 io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).mmio) 661 io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid) 662 io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0)) 663 io.lsq.pendingPtr := RegNext(deqPtr) 664 io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head) 665 666 /** 667 * state changes 668 * (1) redirect: switch to s_walk 669 * (2) walk: when walking comes to the end, switch to s_idle 670 */ 671 val state_next = Mux( 672 io.redirect.valid || RegNext(io.redirect.valid), s_walk, 673 Mux( 674 state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle, 675 state 676 ) 677 ) 678 XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle) 679 XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk) 680 XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle) 681 XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk) 682 state := state_next 683 684 /** 685 * pointers and counters 686 */ 687 val deqPtrGenModule = Module(new NewRobDeqPtrWrapper) 688 deqPtrGenModule.io.state := state 689 deqPtrGenModule.io.deq_v := commit_vDeqGroup 690 deqPtrGenModule.io.deq_w := commit_wDeqGroup 691 deqPtrGenModule.io.exception_state := exceptionDataRead 692 deqPtrGenModule.io.intrBitSetReg := intrBitSetReg 693 deqPtrGenModule.io.hasNoSpecExec := hasWaitForward 694 deqPtrGenModule.io.interrupt_safe := robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe 695 deqPtrGenModule.io.blockCommit := blockCommit 696 deqPtrGenModule.io.hasCommitted := hasCommitted 697 deqPtrGenModule.io.allCommitted := allCommitted 698 deqPtrVec := deqPtrGenModule.io.out 699 deqPtrVec_next := deqPtrGenModule.io.next_out 700 701 val enqPtrGenModule = Module(new RobEnqPtrWrapper) 702 enqPtrGenModule.io.redirect := io.redirect 703 enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq 704 enqPtrGenModule.io.hasBlockBackward := hasBlockBackward 705 enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop)) 706 enqPtrVec := enqPtrGenModule.io.out 707 708 // next walkPtrVec: 709 // (1) redirect occurs: update according to state 710 // (2) walk: move forwards 711 val deqPtrReadBank = deqPtrVec_next(0).lineHeadPtr 712 val deqPtrVecForWalk = VecInit((0 until CommitWidth).map(i => deqPtrReadBank + i.U)) 713 val snapPtrReadBank = snapshots(io.snpt.snptSelect)(0).lineHeadPtr 714 val snapPtrVecForWalk = VecInit((0 until CommitWidth).map(i => snapPtrReadBank + i.U)) 715 val walkPtrVec_next: Vec[RobPtr] = Mux(io.redirect.valid, 716 Mux(io.snpt.useSnpt, snapPtrVecForWalk, deqPtrVecForWalk), 717 Mux((state === s_walk) && !walkFinished, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec) 718 ) 719 val walkPtrTrue_next: RobPtr = Mux(io.redirect.valid, 720 Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0), deqPtrVec_next(0)), 721 Mux((state === s_walk) && !walkFinished, walkPtrVec_next.head, walkPtrTrue) 722 ) 723 walkPtrHead := walkPtrVec_next.head 724 walkPtrVec := walkPtrVec_next 725 walkPtrTrue := walkPtrTrue_next 726 // T io.redirect.valid, T+1 walkPtrLowBits update, T+2 donotNeedWalk update 727 val walkPtrLowBits = Reg(UInt(bankAddrWidth.W)) 728 when(io.redirect.valid){ 729 walkPtrLowBits := Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0).value(bankAddrWidth-1, 0), deqPtrVec_next(0).value(bankAddrWidth-1, 0)) 730 } 731 when(io.redirect.valid) { 732 donotNeedWalk := Fill(donotNeedWalk.length, true.B).asTypeOf(donotNeedWalk) 733 }.elsewhen(RegNext(io.redirect.valid)){ 734 donotNeedWalk := (0 until CommitWidth).map(i => (i.U < walkPtrLowBits)) 735 }.otherwise{ 736 donotNeedWalk := 0.U.asTypeOf(donotNeedWalk) 737 } 738 walkDestSizeDeqGroup.zip(walkPtrVec_next).map { 739 case (reg, ptrNext) => reg := robEntries(deqPtr.value).realDestSize 740 } 741 val numValidEntries = distanceBetween(enqPtr, deqPtr) 742 val commitCnt = PopCount(io.commits.commitValid) 743 744 allowEnqueue := numValidEntries + dispatchNum <= (RobSize - CommitWidth).U 745 746 val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0)) 747 when(io.redirect.valid) { 748 lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx) 749 } 750 751 752 /** 753 * States 754 * We put all the stage bits changes here. 755 * 756 * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit); 757 * All states: (1) valid; (2) writebacked; (3) flagBkup 758 */ 759 760 val deqPtrGroup = Wire(Vec(2 * CommitWidth, new RobPtr)) 761 deqPtrGroup.zipWithIndex.map { case (deq, i) => deq := deqPtrVec(0) + i.U } 762 val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value))) 763 764 val redirectValidReg = RegNext(io.redirect.valid) 765 val redirectBegin = Reg(UInt(log2Up(RobSize).W)) 766 val redirectEnd = Reg(UInt(log2Up(RobSize).W)) 767 when(io.redirect.valid){ 768 redirectBegin := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx.value - 1.U, io.redirect.bits.robIdx.value) 769 redirectEnd := enqPtr.value 770 } 771 772 // update robEntries valid 773 for (i <- 0 until RobSize) { 774 val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2)) 775 val commitCond = io.commits.isCommit && io.commits.commitValid.zip(deqPtrVec.map(_.value === i.U)).map(x => x._1 && x._2).reduce(_ || _) 776 assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot") 777 val needFlush = redirectValidReg && Mux( 778 redirectEnd > redirectBegin, 779 (i.U > redirectBegin) && (i.U < redirectEnd), 780 (i.U > redirectBegin) || (i.U < redirectEnd) 781 ) 782 when(reset.asBool) { 783 robEntries(i).valid := false.B 784 }.elsewhen(commitCond) { 785 robEntries(i).valid := false.B 786 }.elsewhen(enqOH.asUInt.orR && !io.redirect.valid) { 787 robEntries(i).valid := true.B 788 }.elsewhen(needFlush){ 789 robEntries(i).valid := false.B 790 } 791 } 792 793 // debug_inst update 794 for (i <- 0 until (LduCnt + StaCnt)) { 795 debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i)) 796 debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i)) 797 debug_lsInfo(io.debug_ls.debugLsInfo(i).s3_robIdx).s3SignalEnable(io.debug_ls.debugLsInfo(i)) 798 } 799 for (i <- 0 until LduCnt) { 800 debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i)) 801 debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i)) 802 } 803 804 // status field: writebacked 805 // enqueue logic set 6 writebacked to false 806 for (i <- 0 until RenameWidth) { 807 when(canEnqueue(i)) { 808 val enqHasException = ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec).asUInt.orR 809 val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire 810 val enqIsWritebacked = io.enq.req(i).bits.eliminatedMove 811 val isStu = FuType.isStore(io.enq.req(i).bits.fuType) 812 robEntries(allocatePtrVec(i).value).commitTrigger := enqIsWritebacked && !enqHasException && !enqHasTriggerCanFire && !isStu 813 } 814 } 815 when(exceptionGen.io.out.valid) { 816 val wbIdx = exceptionGen.io.out.bits.robIdx.value 817 robEntries(wbIdx).commitTrigger := true.B 818 } 819 820 // writeback logic set numWbPorts writebacked to true 821 val blockWbSeq = Wire(Vec(exuWBs.length, Bool())) 822 blockWbSeq.map(_ := false.B) 823 for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) { 824 when(wb.valid) { 825 val wbIdx = wb.bits.robIdx.value 826 val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR 827 val wbHasTriggerCanFire = wb.bits.trigger.getOrElse(0.U).asTypeOf(io.enq.req(0).bits.trigger).getBackendCanFire //Todo: wb.bits.trigger.getHitBackend 828 val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B) 829 val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst 830 blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerCanFire 831 robEntries(wbIdx).commitTrigger := !blockWb 832 } 833 } 834 835 // if the first uop of an instruction is valid , write writebackedCounter 836 val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid) 837 val instEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid && req.bits.firstUop) 838 val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf) 839 val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value) 840 val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops)) 841 val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB)) 842 val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove)) 843 844 private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map { 845 req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType) 846 }) 847 val fflags_wb = fflagsWBs 848 val vxsat_wb = vxsatWBs 849 for (i <- 0 until RobSize) { 850 851 val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U) 852 val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 853 val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 854 val instCanEnqFlag = Cat(instCanEnqSeq).orR 855 val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid }) 856 when(!robEntries(i).valid && instCanEnqFlag){ 857 robEntries(i).realDestSize := realDestEnqNum 858 }.elsewhen(robEntries(i).valid && Cat(uopCanEnqSeq).orR){ 859 robEntries(i).realDestSize := robEntries(i).realDestSize + realDestEnqNum 860 } 861 val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 862 val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec) 863 val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 864 val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 865 866 val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 867 val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb } 868 val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)) 869 val wbCnt = Mux1H(canWbNoBlockSeq, io.writebackNums.map(_.bits)) 870 871 val exceptionHas = RegInit(false.B) 872 val exceptionHasWire = Wire(Bool()) 873 exceptionHasWire := MuxCase(exceptionHas, Seq( 874 (robEntries(i).valid && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === i.U) -> true.B, 875 !robEntries(i).valid -> false.B 876 )) 877 exceptionHas := exceptionHasWire 878 879 when(exceptionHas || exceptionHasWire) { 880 // exception flush 881 robEntries(i).uopNum := 0.U 882 robEntries(i).stdWritebacked := true.B 883 }.elsewhen(!robEntries(i).valid && instCanEnqFlag) { 884 // enq set num of uops 885 robEntries(i).uopNum := enqWBNum 886 robEntries(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B) 887 }.elsewhen(robEntries(i).valid) { 888 // update by writing back 889 robEntries(i).uopNum := robEntries(i).uopNum - wbCnt 890 assert(!(robEntries(i).uopNum - wbCnt > robEntries(i).uopNum), s"robEntries $i uopNum is overflow!") 891 when(canStdWbSeq.asUInt.orR) { 892 robEntries(i).stdWritebacked := true.B 893 } 894 } 895 896 val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B)) 897 val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 898 robEntries(i).fflags := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).fflags | fflagsRes) 899 900 val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 901 val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 902 robEntries(i).vxsat := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).vxsat | vxsatRes) 903 } 904 905 // begin update robBanksRdata 906 val robBanksRdata = VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine) 907 val needUpdate = Wire(Vec(2 * CommitWidth, new RobEntryBundle)) 908 needUpdate := VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine) 909 val needUpdateRobIdx = robIdxThisLine ++ robIdxNextLine 910 for (i <- 0 until 2 * CommitWidth) { 911 val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === needUpdateRobIdx(i)) 912 val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 913 val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 914 val instCanEnqFlag = Cat(instCanEnqSeq).orR 915 val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid }) 916 when(!needUpdate(i).valid && instCanEnqFlag) { 917 needUpdate(i).realDestSize := realDestEnqNum 918 }.elsewhen(needUpdate(i).valid && instCanEnqFlag) { 919 needUpdate(i).realDestSize := robBanksRdata(i).realDestSize + realDestEnqNum 920 } 921 val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 922 val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec) 923 val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 924 val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 925 926 val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)) 927 val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb } 928 val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))) 929 val wbCnt = Mux1H(canWbNoBlockSeq, io.writebackNums.map(_.bits)) 930 931 val exceptionHas = RegInit(false.B) 932 val exceptionHasWire = Wire(Bool()) 933 exceptionHasWire := MuxCase(exceptionHas, Seq( 934 // allCommitted has high priority, because the robidx in exceptionHas before maybe different from the current one 935 (!needUpdate(i).valid || allCommitted) -> false.B, 936 (needUpdate(i).valid && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === needUpdateRobIdx(i)) -> true.B 937 )) 938 exceptionHas := exceptionHasWire 939 940 when(exceptionHas || exceptionHasWire) { 941 // exception flush 942 needUpdate(i).uopNum := 0.U 943 needUpdate(i).stdWritebacked := true.B 944 }.elsewhen(!needUpdate(i).valid && instCanEnqFlag) { 945 // enq set num of uops 946 needUpdate(i).uopNum := enqWBNum 947 needUpdate(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B) 948 }.elsewhen(needUpdate(i).valid) { 949 // update by writing back 950 needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt 951 when(canStdWbSeq.asUInt.orR) { 952 needUpdate(i).stdWritebacked := true.B 953 } 954 } 955 956 val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i) && writeback.bits.wflags.getOrElse(false.B)) 957 val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 958 needUpdate(i).fflags := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).fflags | fflagsRes) 959 960 val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)) 961 val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 962 needUpdate(i).vxsat := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).vxsat | vxsatRes) 963 } 964 robBanksRdataThisLineUpdate := VecInit(needUpdate.take(8)) 965 robBanksRdataNextLineUpdate := VecInit(needUpdate.drop(8)) 966 // end update robBanksRdata 967 968 // interrupt_safe 969 for (i <- 0 until RenameWidth) { 970 // We RegNext the updates for better timing. 971 // Note that instructions won't change the system's states in this cycle. 972 when(RegNext(canEnqueue(i))) { 973 // For now, we allow non-load-store instructions to trigger interrupts 974 // For MMIO instructions, they should not trigger interrupts since they may 975 // be sent to lower level before it writes back. 976 // However, we cannot determine whether a load/store instruction is MMIO. 977 // Thus, we don't allow load/store instructions to trigger an interrupt. 978 // TODO: support non-MMIO load-store instructions to trigger interrupts 979 val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType) 980 robEntries(RegEnable(allocatePtrVec(i).value, canEnqueue(i))).interrupt_safe := RegEnable(allow_interrupts, canEnqueue(i)) 981 } 982 } 983 984 /** 985 * read and write of data modules 986 */ 987 val commitReadAddr_next = Mux(state_next === s_idle, 988 VecInit(deqPtrVec_next.map(_.value)), 989 VecInit(walkPtrVec_next.map(_.value)) 990 ) 991 992 exceptionGen.io.redirect <> io.redirect 993 exceptionGen.io.flush := io.flushOut.valid 994 995 val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept)) 996 for (i <- 0 until RenameWidth) { 997 exceptionGen.io.enq(i).valid := canEnqueueEG(i) 998 exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx 999 exceptionGen.io.enq(i).bits.ftqPtr := io.enq.req(i).bits.ftqPtr 1000 exceptionGen.io.enq(i).bits.ftqOffset := io.enq.req(i).bits.ftqOffset 1001 exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec) 1002 exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe 1003 exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset 1004 exceptionGen.io.enq(i).bits.replayInst := false.B 1005 XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst") 1006 exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep 1007 exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix 1008 exceptionGen.io.enq(i).bits.trigger.clear() 1009 exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit 1010 exceptionGen.io.enq(i).bits.trigger.frontendCanFire := io.enq.req(i).bits.trigger.frontendCanFire 1011 exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare 1012 exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare 1013 } 1014 1015 println(s"ExceptionGen:") 1016 println(s"num of exceptions: ${params.numException}") 1017 require(exceptionWBs.length == exceptionGen.io.wb.length, 1018 f"exceptionWBs.length: ${exceptionWBs.length}, " + 1019 f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}") 1020 for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) { 1021 exc_wb.valid := wb.valid 1022 exc_wb.bits.robIdx := wb.bits.robIdx 1023 // only enq inst use ftqPtr to read gpa 1024 exc_wb.bits.ftqPtr := 0.U.asTypeOf(exc_wb.bits.ftqPtr) 1025 exc_wb.bits.ftqOffset := 0.U.asTypeOf(exc_wb.bits.ftqOffset) 1026 exc_wb.bits.exceptionVec := wb.bits.exceptionVec.get 1027 exc_wb.bits.flushPipe := wb.bits.flushPipe.getOrElse(false.B) 1028 exc_wb.bits.isVset := false.B 1029 exc_wb.bits.replayInst := wb.bits.replay.getOrElse(false.B) 1030 exc_wb.bits.singleStep := false.B 1031 exc_wb.bits.crossPageIPFFix := false.B 1032 // TODO: make trigger configurable 1033 val trigger = wb.bits.trigger.getOrElse(0.U).asTypeOf(exc_wb.bits.trigger) 1034 exc_wb.bits.trigger.clear() // Don't care frontend timing, chain, hit and canFire 1035 exc_wb.bits.trigger.backendHit := trigger.backendHit 1036 exc_wb.bits.trigger.backendCanFire := trigger.backendCanFire 1037 exc_wb.bits.vstartEn := false.B //wb.bits.vstartEn.getOrElse(false.B) // todo need add vstart in ExuOutput 1038 exc_wb.bits.vstart := 0.U //wb.bits.vstart.getOrElse(0.U) 1039 // println(s" [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " + 1040 // s"flushPipe ${configs.exists(_.flushPipe)}, " + 1041 // s"replayInst ${configs.exists(_.replayInst)}") 1042 } 1043 1044 fflagsDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).fflags) 1045 vxsatDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).vxsat) 1046 1047 val instrCntReg = RegInit(0.U(64.W)) 1048 val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) }) 1049 val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt 1050 val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U) 1051 val instrCnt = instrCntReg + retireCounter 1052 instrCntReg := instrCnt 1053 io.csr.perfinfo.retiredInstr := retireCounter 1054 io.robFull := !allowEnqueue 1055 io.headNotReady := commit_vDeqGroup.head && !commit_wDeqGroup.head 1056 1057 /** 1058 * debug info 1059 */ 1060 XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n") 1061 XSDebug("") 1062 XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n") 1063 for (i <- 0 until RobSize) { 1064 XSDebug(false, !robEntries(i).valid, "-") 1065 XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w") 1066 XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v") 1067 } 1068 XSDebug(false, true.B, "\n") 1069 1070 for (i <- 0 until RobSize) { 1071 if (i % 4 == 0) XSDebug("") 1072 XSDebug(false, true.B, "%x ", debug_microOp(i).pc) 1073 XSDebug(false, !robEntries(i).valid, "- ") 1074 XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w ") 1075 XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v ") 1076 if (i % 4 == 3) XSDebug(false, true.B, "\n") 1077 } 1078 1079 def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U) 1080 1081 def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U) 1082 1083 val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_)) 1084 XSPerfAccumulate("clock_cycle", 1.U) 1085 QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U) 1086 XSPerfAccumulate("commitUop", ifCommit(commitCnt)) 1087 XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt)) 1088 XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset) 1089 XSPerfRolling("cpi", perfCnt = 1.U /*Cycle*/ , eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset) 1090 val commitIsMove = commitInfo.map(_.isMove) 1091 XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m }))) 1092 val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove) 1093 XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e }))) 1094 XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt)) 1095 val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD) 1096 val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map { case (v, t) => v && t } 1097 XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid))) 1098 val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH) 1099 val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map { case (v, t) => v && t } 1100 XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid))) 1101 val commitLoadWaitBit = commitInfo.map(_.loadWaitBit) 1102 XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w }))) 1103 val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE) 1104 XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t }))) 1105 XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => robEntries(i).valid && robEntries(i).isWritebacked))) 1106 // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire))) 1107 // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready))) 1108 XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)) 1109 XSPerfAccumulate("walkCycleTotal", state === s_walk) 1110 XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd) 1111 private val walkCycle = RegInit(0.U(8.W)) 1112 private val waitRabWalkCycle = RegInit(0.U(8.W)) 1113 walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1114 waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1115 1116 XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32) 1117 XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32) 1118 XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32) 1119 1120 private val deqNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isWritebacked 1121 private val deqStdNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).stdWritebacked 1122 private val deqUopNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isUopWritebacked 1123 private val deqHeadInfo = debug_microOp(deqPtr.value) 1124 val deqUopCommitType = debug_microOp(deqPtr.value).commitType 1125 1126 XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U) 1127 XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U) 1128 XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U) 1129 XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U) 1130 XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U) 1131 XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U) 1132 XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U) 1133 XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U) 1134 XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U) 1135 XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1136 XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1137 XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1138 XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U) 1139 1140 XSPerfAccumulate("waitVfaluCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfalu.U) 1141 XSPerfAccumulate("waitVfmaCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfma.U) 1142 XSPerfAccumulate("waitVfdivCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfdiv.U) 1143 1144 val vfalufuop = Seq(VfaluType.vfadd, VfaluType.vfwadd, VfaluType.vfwadd_w, VfaluType.vfsub, VfaluType.vfwsub, VfaluType.vfwsub_w, VfaluType.vfmin, VfaluType.vfmax, 1145 VfaluType.vfmerge, VfaluType.vfmv, VfaluType.vfsgnj, VfaluType.vfsgnjn, VfaluType.vfsgnjx, VfaluType.vfeq, VfaluType.vfne, VfaluType.vflt, VfaluType.vfle, VfaluType.vfgt, 1146 VfaluType.vfge, VfaluType.vfclass, VfaluType.vfmv_f_s, VfaluType.vfmv_s_f, VfaluType.vfredusum, VfaluType.vfredmax, VfaluType.vfredmin, VfaluType.vfredosum, VfaluType.vfwredosum) 1147 1148 vfalufuop.zipWithIndex.map{ 1149 case(fuoptype,i) => XSPerfAccumulate(s"waitVfalu_${i}Cycle", deqStdNotWritebacked && deqHeadInfo.fuOpType === fuoptype && deqHeadInfo.fuType === FuType.vfalu.U) 1150 } 1151 1152 1153 1154 XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL) 1155 XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH) 1156 XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD) 1157 XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE) 1158 XSPerfAccumulate("robHeadPC", io.commits.info(0).debug_pc.getOrElse(0.U)) 1159 XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U })) 1160 (2 to RenameWidth).foreach(i => 1161 XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize === i.U })) 1162 ) 1163 XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _)) 1164 val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime) 1165 val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime) 1166 val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime) 1167 val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime) 1168 val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime) 1169 val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime) 1170 val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime) 1171 1172 def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = { 1173 cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _) 1174 } 1175 1176 for (fuType <- FuType.functionNameMap.keys) { 1177 val fuName = FuType.functionNameMap(fuType) 1178 val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U) 1179 XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset) 1180 XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType))) 1181 XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency))) 1182 XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency))) 1183 XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency))) 1184 XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency))) 1185 XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency))) 1186 XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency))) 1187 XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency))) 1188 } 1189 XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt) 1190 1191 // top-down info 1192 io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid 1193 io.debugTopDown.toCore.robHeadVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits 1194 io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid 1195 io.debugTopDown.toCore.robHeadPaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits 1196 io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt) 1197 io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value) 1198 io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value) 1199 io.debugTopDown.robHeadLqIdx.bits := debug_microOp(deqPtr.value).lqIdx 1200 1201 // rolling 1202 io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt) 1203 1204 /** 1205 * DataBase info: 1206 * log trigger is at writeback valid 1207 * */ 1208 1209 /** 1210 * @todo add InstInfoEntry back 1211 * @author Maxpicca-Li 1212 */ 1213 1214 //difftest signals 1215 val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value 1216 1217 val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1218 val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1219 1220 for (i <- 0 until CommitWidth) { 1221 val idx = deqPtrVec(i).value 1222 wdata(i) := debug_exuData(idx) 1223 wpc(i) := SignExt(commitDebugUop(i).pc, XLEN) 1224 } 1225 1226 if (env.EnableDifftest || env.AlwaysBasicDiff) { 1227 // These are the structures used by difftest only and should be optimized after synthesis. 1228 val dt_eliminatedMove = Mem(RobSize, Bool()) 1229 val dt_isRVC = Mem(RobSize, Bool()) 1230 val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle)) 1231 for (i <- 0 until RenameWidth) { 1232 when(canEnqueue(i)) { 1233 dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove 1234 dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC 1235 } 1236 } 1237 for (wb <- exuWBs) { 1238 when(wb.valid) { 1239 val wbIdx = wb.bits.robIdx.value 1240 dt_exuDebug(wbIdx) := wb.bits.debug 1241 } 1242 } 1243 // Always instantiate basic difftest modules. 1244 for (i <- 0 until CommitWidth) { 1245 val uop = commitDebugUop(i) 1246 val commitInfo = io.commits.info(i) 1247 val ptr = deqPtrVec(i).value 1248 val exuOut = dt_exuDebug(ptr) 1249 val eliminatedMove = dt_eliminatedMove(ptr) 1250 val isRVC = dt_isRVC(ptr) 1251 1252 val difftest = DifftestModule(new DiffInstrCommit(MaxPhyPregs), delay = 3, dontCare = true) 1253 difftest.coreid := io.hartId 1254 difftest.index := i.U 1255 difftest.valid := io.commits.commitValid(i) && io.commits.isCommit 1256 difftest.skip := Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt) 1257 difftest.isRVC := isRVC 1258 difftest.rfwen := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.debug_ldest.get =/= 0.U 1259 difftest.fpwen := io.commits.commitValid(i) && uop.fpWen 1260 difftest.wpdest := commitInfo.debug_pdest.get 1261 difftest.wdest := commitInfo.debug_ldest.get 1262 difftest.nFused := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U 1263 when(difftest.valid) { 1264 assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U) 1265 } 1266 if (env.EnableDifftest) { 1267 val uop = commitDebugUop(i) 1268 difftest.pc := SignExt(uop.pc, XLEN) 1269 difftest.instr := uop.instr 1270 difftest.robIdx := ZeroExt(ptr, 10) 1271 difftest.lqIdx := ZeroExt(uop.lqIdx.value, 7) 1272 difftest.sqIdx := ZeroExt(uop.sqIdx.value, 7) 1273 difftest.isLoad := io.commits.info(i).commitType === CommitType.LOAD 1274 difftest.isStore := io.commits.info(i).commitType === CommitType.STORE 1275 } 1276 } 1277 } 1278 1279 if (env.EnableDifftest) { 1280 for (i <- 0 until CommitWidth) { 1281 val difftest = DifftestModule(new DiffLoadEvent, delay = 3) 1282 difftest.coreid := io.hartId 1283 difftest.index := i.U 1284 1285 val ptr = deqPtrVec(i).value 1286 val uop = commitDebugUop(i) 1287 val exuOut = debug_exuDebug(ptr) 1288 difftest.valid := io.commits.commitValid(i) && io.commits.isCommit 1289 difftest.paddr := exuOut.paddr 1290 difftest.opType := uop.fuOpType 1291 difftest.isAtomic := FuType.isAMO(uop.fuType) 1292 difftest.isLoad := FuType.isLoad(uop.fuType) 1293 } 1294 } 1295 1296 if (env.EnableDifftest || env.AlwaysBasicDiff) { 1297 val dt_isXSTrap = Mem(RobSize, Bool()) 1298 for (i <- 0 until RenameWidth) { 1299 when(canEnqueue(i)) { 1300 dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap 1301 } 1302 } 1303 val trapVec = io.commits.commitValid.zip(deqPtrVec).map { case (v, d) => 1304 io.commits.isCommit && v && dt_isXSTrap(d.value) 1305 } 1306 val hitTrap = trapVec.reduce(_ || _) 1307 val difftest = DifftestModule(new DiffTrapEvent, dontCare = true) 1308 difftest.coreid := io.hartId 1309 difftest.hasTrap := hitTrap 1310 difftest.cycleCnt := timer 1311 difftest.instrCnt := instrCnt 1312 difftest.hasWFI := hasWFI 1313 1314 if (env.EnableDifftest) { 1315 val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1)) 1316 val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 -> x._1)), XLEN) 1317 difftest.code := trapCode 1318 difftest.pc := trapPC 1319 } 1320 } 1321 1322 val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(robEntries.map(_.valid).drop(i * 32).take(32)))) 1323 val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _)) 1324 val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m }) 1325 val commitLoadVec = VecInit(commitLoadValid) 1326 val commitBranchVec = VecInit(commitBranchValid) 1327 val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w }) 1328 val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t }) 1329 val perfEvents = Seq( 1330 ("rob_interrupt_num ", io.flushOut.valid && intrEnable), 1331 ("rob_exception_num ", io.flushOut.valid && exceptionEnable), 1332 ("rob_flush_pipe_num ", io.flushOut.valid && isFlushPipe), 1333 ("rob_replay_inst_num ", io.flushOut.valid && isFlushPipe && deqHasReplayInst), 1334 ("rob_commitUop ", ifCommit(commitCnt)), 1335 ("rob_commitInstr ", ifCommitReg(trueCommitCnt)), 1336 ("rob_commitInstrMove ", ifCommitReg(PopCount(RegNext(commitMoveVec)))), 1337 ("rob_commitInstrFused ", ifCommitReg(fuseCommitCnt)), 1338 ("rob_commitInstrLoad ", ifCommitReg(PopCount(RegNext(commitLoadVec)))), 1339 ("rob_commitInstrBranch ", ifCommitReg(PopCount(RegNext(commitBranchVec)))), 1340 ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec)))), 1341 ("rob_commitInstrStore ", ifCommitReg(PopCount(RegNext(commitStoreVec)))), 1342 ("rob_walkInstr ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)), 1343 ("rob_walkCycle ", (state === s_walk)), 1344 ("rob_1_4_valid ", validEntries <= (RobSize / 4).U), 1345 ("rob_2_4_valid ", validEntries > (RobSize / 4).U && validEntries <= (RobSize / 2).U), 1346 ("rob_3_4_valid ", validEntries > (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U), 1347 ("rob_4_4_valid ", validEntries > (RobSize * 3 / 4).U), 1348 ) 1349 generatePerfEvent() 1350 1351 // dontTouch for debug 1352 if (backendParams.debugEn) { 1353 dontTouch(enqPtrVec) 1354 dontTouch(deqPtrVec) 1355 dontTouch(robEntries) 1356 dontTouch(robDeqGroup) 1357 dontTouch(robBanks) 1358 dontTouch(robBanksRaddrThisLine) 1359 dontTouch(robBanksRaddrNextLine) 1360 dontTouch(robBanksRdataThisLine) 1361 dontTouch(robBanksRdataNextLine) 1362 dontTouch(robBanksRdataThisLineUpdate) 1363 dontTouch(robBanksRdataNextLineUpdate) 1364 dontTouch(commit_wDeqGroup) 1365 dontTouch(commit_vDeqGroup) 1366 dontTouch(commitSizeSumSeq) 1367 dontTouch(walkSizeSumSeq) 1368 dontTouch(commitSizeSumCond) 1369 dontTouch(walkSizeSumCond) 1370 dontTouch(commitSizeSum) 1371 dontTouch(walkSizeSum) 1372 dontTouch(realDestSizeSeq) 1373 dontTouch(walkDestSizeSeq) 1374 dontTouch(io.commits) 1375 dontTouch(commitIsVTypeVec) 1376 dontTouch(walkIsVTypeVec) 1377 dontTouch(commitValidThisLine) 1378 dontTouch(commitReadAddr_next) 1379 dontTouch(donotNeedWalk) 1380 dontTouch(walkPtrVec_next) 1381 dontTouch(walkPtrVec) 1382 dontTouch(deqPtrVec_next) 1383 dontTouch(deqPtrVecForWalk) 1384 dontTouch(snapPtrReadBank) 1385 dontTouch(snapPtrVecForWalk) 1386 dontTouch(shouldWalkVec) 1387 dontTouch(walkFinished) 1388 dontTouch(changeBankAddrToDeqPtr) 1389 } 1390 if (env.EnableDifftest) { 1391 io.commits.info.map(info => dontTouch(info.debug_pc.get)) 1392 } 1393} 1394