1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.backend.rob 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import difftest._ 23import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 24import utility._ 25import utils._ 26import xiangshan._ 27import xiangshan.backend.BackendParams 28import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 29import xiangshan.backend.fu.{FuConfig, FuType} 30import xiangshan.frontend.FtqPtr 31import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 32import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 33import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo} 34import xiangshan.backend.fu.vector.Bundles.VType 35import xiangshan.backend.rename.SnapshotGenerator 36 37 38class RobPtr(entries: Int) extends CircularQueuePtr[RobPtr]( 39 entries 40) with HasCircularQueuePtrHelper { 41 42 def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).RobSize) 43 44 def needFlush(redirect: Valid[Redirect]): Bool = { 45 val flushItself = redirect.bits.flushItself() && this === redirect.bits.robIdx 46 redirect.valid && (flushItself || isAfter(this, redirect.bits.robIdx)) 47 } 48 49 def needFlush(redirect: Seq[Valid[Redirect]]): Bool = VecInit(redirect.map(needFlush)).asUInt.orR 50} 51 52object RobPtr { 53 def apply(f: Bool, v: UInt)(implicit p: Parameters): RobPtr = { 54 val ptr = Wire(new RobPtr) 55 ptr.flag := f 56 ptr.value := v 57 ptr 58 } 59} 60 61class RobCSRIO(implicit p: Parameters) extends XSBundle { 62 val intrBitSet = Input(Bool()) 63 val trapTarget = Input(UInt(VAddrBits.W)) 64 val isXRet = Input(Bool()) 65 val wfiEvent = Input(Bool()) 66 67 val fflags = Output(Valid(UInt(5.W))) 68 val vxsat = Output(Valid(Bool())) 69 val vstart = Output(Valid(UInt(XLEN.W))) 70 val dirty_fs = Output(Bool()) 71 val perfinfo = new Bundle { 72 val retiredInstr = Output(UInt(3.W)) 73 } 74 75 val vcsrFlag = Output(Bool()) 76} 77 78class RobLsqIO(implicit p: Parameters) extends XSBundle { 79 val lcommit = Output(UInt(log2Up(CommitWidth + 1).W)) 80 val scommit = Output(UInt(log2Up(CommitWidth + 1).W)) 81 val pendingld = Output(Bool()) 82 val pendingst = Output(Bool()) 83 val commit = Output(Bool()) 84 val pendingPtr = Output(new RobPtr) 85 val pendingPtrNext = Output(new RobPtr) 86 87 val mmio = Input(Vec(LoadPipelineWidth, Bool())) 88 // Todo: what's this? 89 val uop = Input(Vec(LoadPipelineWidth, new DynInst)) 90} 91 92class RobEnqIO(implicit p: Parameters) extends XSBundle { 93 val canAccept = Output(Bool()) 94 val isEmpty = Output(Bool()) 95 // valid vector, for robIdx gen and walk 96 val needAlloc = Vec(RenameWidth, Input(Bool())) 97 val req = Vec(RenameWidth, Flipped(ValidIO(new DynInst))) 98 val resp = Vec(RenameWidth, Output(new RobPtr)) 99} 100 101class RobCoreTopDownIO(implicit p: Parameters) extends XSBundle { 102 val robHeadVaddr = Valid(UInt(VAddrBits.W)) 103 val robHeadPaddr = Valid(UInt(PAddrBits.W)) 104} 105 106class RobDispatchTopDownIO extends Bundle { 107 val robTrueCommit = Output(UInt(64.W)) 108 val robHeadLsIssue = Output(Bool()) 109} 110 111class RobDebugRollingIO extends Bundle { 112 val robTrueCommit = Output(UInt(64.W)) 113} 114 115class RobDispatchData(implicit p: Parameters) extends RobCommitInfo {} 116 117class RobDeqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 118 val io = IO(new Bundle { 119 // for commits/flush 120 val state = Input(UInt(2.W)) 121 val deq_v = Vec(CommitWidth, Input(Bool())) 122 val deq_w = Vec(CommitWidth, Input(Bool())) 123 val exception_state = Flipped(ValidIO(new RobExceptionInfo)) 124 // for flush: when exception occurs, reset deqPtrs to range(0, CommitWidth) 125 val intrBitSetReg = Input(Bool()) 126 val hasNoSpecExec = Input(Bool()) 127 val interrupt_safe = Input(Bool()) 128 val blockCommit = Input(Bool()) 129 // output: the CommitWidth deqPtr 130 val out = Vec(CommitWidth, Output(new RobPtr)) 131 val next_out = Vec(CommitWidth, Output(new RobPtr)) 132 }) 133 134 val deqPtrVec = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new RobPtr)))) 135 136 // for exceptions (flushPipe included) and interrupts: 137 // only consider the first instruction 138 val intrEnable = io.intrBitSetReg && !io.hasNoSpecExec && io.interrupt_safe 139 val exceptionEnable = io.deq_w(0) && io.exception_state.valid && io.exception_state.bits.not_commit && io.exception_state.bits.robIdx === deqPtrVec(0) 140 val redirectOutValid = io.state === 0.U && io.deq_v(0) && (intrEnable || exceptionEnable) 141 142 // for normal commits: only to consider when there're no exceptions 143 // we don't need to consider whether the first instruction has exceptions since it wil trigger exceptions. 144 val commit_exception = io.exception_state.valid && !isAfter(io.exception_state.bits.robIdx, deqPtrVec.last) 145 val canCommit = VecInit((0 until CommitWidth).map(i => io.deq_v(i) && io.deq_w(i))) 146 val normalCommitCnt = PriorityEncoder(canCommit.map(c => !c) :+ true.B) 147 // when io.intrBitSetReg or there're possible exceptions in these instructions, 148 // only one instruction is allowed to commit 149 val allowOnlyOne = commit_exception || io.intrBitSetReg 150 val commitCnt = Mux(allowOnlyOne, canCommit(0), normalCommitCnt) 151 152 val commitDeqPtrVec = VecInit(deqPtrVec.map(_ + commitCnt)) 153 val deqPtrVec_next = Mux(io.state === 0.U && !redirectOutValid && !io.blockCommit, commitDeqPtrVec, deqPtrVec) 154 155 deqPtrVec := deqPtrVec_next 156 157 io.next_out := deqPtrVec_next 158 io.out := deqPtrVec 159 160 when (io.state === 0.U) { 161 XSInfo(io.state === 0.U && commitCnt > 0.U, "retired %d insts\n", commitCnt) 162 } 163 164} 165 166class RobEnqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 167 val io = IO(new Bundle { 168 // for input redirect 169 val redirect = Input(Valid(new Redirect)) 170 // for enqueue 171 val allowEnqueue = Input(Bool()) 172 val hasBlockBackward = Input(Bool()) 173 val enq = Vec(RenameWidth, Input(Bool())) 174 val out = Output(Vec(RenameWidth, new RobPtr)) 175 }) 176 177 val enqPtrVec = RegInit(VecInit.tabulate(RenameWidth)(_.U.asTypeOf(new RobPtr))) 178 179 // enqueue 180 val canAccept = io.allowEnqueue && !io.hasBlockBackward 181 val dispatchNum = Mux(canAccept, PopCount(io.enq), 0.U) 182 183 for ((ptr, i) <- enqPtrVec.zipWithIndex) { 184 when(io.redirect.valid) { 185 ptr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U) 186 }.otherwise { 187 ptr := ptr + dispatchNum 188 } 189 } 190 191 io.out := enqPtrVec 192 193} 194 195class RobExceptionInfo(implicit p: Parameters) extends XSBundle { 196 // val valid = Bool() 197 val robIdx = new RobPtr 198 val exceptionVec = ExceptionVec() 199 val flushPipe = Bool() 200 val isVset = Bool() 201 val replayInst = Bool() // redirect to that inst itself 202 val singleStep = Bool() // TODO add frontend hit beneath 203 val crossPageIPFFix = Bool() 204 val trigger = new TriggerCf 205 val vstartEn = Bool() 206 val vstart = UInt(XLEN.W) 207 208 def has_exception = exceptionVec.asUInt.orR || flushPipe || singleStep || replayInst || trigger.canFire 209 def not_commit = exceptionVec.asUInt.orR || singleStep || replayInst || trigger.canFire 210 // only exceptions are allowed to writeback when enqueue 211 def can_writeback = exceptionVec.asUInt.orR || singleStep || trigger.canFire 212} 213 214class ExceptionGen(params: BackendParams)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 215 val io = IO(new Bundle { 216 val redirect = Input(Valid(new Redirect)) 217 val flush = Input(Bool()) 218 val enq = Vec(RenameWidth, Flipped(ValidIO(new RobExceptionInfo))) 219 // csr + load + store + varith + vload + vstore 220 val wb = Vec(params.numException, Flipped(ValidIO(new RobExceptionInfo))) 221 val out = ValidIO(new RobExceptionInfo) 222 val state = ValidIO(new RobExceptionInfo) 223 }) 224 225 val wbExuParams = params.allExuParams.filter(_.exceptionOut.nonEmpty) 226 227 def getOldest(valid: Seq[Bool], bits: Seq[RobExceptionInfo]): RobExceptionInfo = { 228 def getOldest_recursion(valid: Seq[Bool], bits: Seq[RobExceptionInfo]): (Seq[Bool], Seq[RobExceptionInfo]) = { 229 assert(valid.length == bits.length) 230 if (valid.length == 1) { 231 (valid, bits) 232 } else if (valid.length == 2) { 233 val res = Seq.fill(2)(Wire(ValidIO(chiselTypeOf(bits(0))))) 234 for (i <- res.indices) { 235 res(i).valid := valid(i) 236 res(i).bits := bits(i) 237 } 238 val oldest = Mux(!valid(1) || valid(0) && isAfter(bits(1).robIdx, bits(0).robIdx), res(0), res(1)) 239 (Seq(oldest.valid), Seq(oldest.bits)) 240 } else { 241 val left = getOldest_recursion(valid.take(valid.length / 2), bits.take(valid.length / 2)) 242 val right = getOldest_recursion(valid.drop(valid.length / 2), bits.drop(valid.length / 2)) 243 getOldest_recursion(left._1 ++ right._1, left._2 ++ right._2) 244 } 245 } 246 getOldest_recursion(valid, bits)._2.head 247 } 248 249 250 val currentValid = RegInit(false.B) 251 val current = Reg(new RobExceptionInfo) 252 253 // orR the exceptionVec 254 val lastCycleFlush = RegNext(io.flush) 255 val in_enq_valid = VecInit(io.enq.map(e => e.valid && e.bits.has_exception && !lastCycleFlush)) 256 257 // s0: compare wb in 6 groups 258 val csr_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(t => t.isCsr).nonEmpty).map(_._1) 259 val load_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.fuType == FuType.ldu).nonEmpty).map(_._1) 260 val store_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(t => t.isSta || t.fuType == FuType.mou).nonEmpty).map(_._1) 261 val varith_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.isVecArith).nonEmpty).map(_._1) 262 val vload_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.fuType == FuType.vldu).nonEmpty).map(_._1) 263 val vstore_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.fuType == FuType.vstu).nonEmpty).map(_._1) 264 265 val writebacks = Seq(csr_wb, load_wb, store_wb, varith_wb, vload_wb, vstore_wb) 266 val in_wb_valids = writebacks.map(_.map(w => w.valid && w.bits.has_exception && !lastCycleFlush)) 267 val wb_valid = in_wb_valids.zip(writebacks).map { case (valid, wb) => 268 valid.zip(wb.map(_.bits)).map { case (v, bits) => v && !(bits.robIdx.needFlush(io.redirect) || io.flush) }.reduce(_ || _) 269 } 270 val wb_bits = in_wb_valids.zip(writebacks).map { case (valid, wb) => getOldest(valid, wb.map(_.bits))} 271 272 val s0_out_valid = wb_valid.map(x => RegNext(x)) 273 val s0_out_bits = wb_bits.zip(wb_valid).map{ case(b, v) => RegEnable(b, v)} 274 275 // s1: compare last six and current flush 276 val s1_valid = VecInit(s0_out_valid.zip(s0_out_bits).map{ case (v, b) => v && !(b.robIdx.needFlush(io.redirect) || io.flush) }) 277 val s1_out_bits = RegEnable(getOldest(s0_out_valid, s0_out_bits), s1_valid.asUInt.orR) 278 val s1_out_valid = RegNext(s1_valid.asUInt.orR) 279 280 val enq_valid = RegNext(in_enq_valid.asUInt.orR && !io.redirect.valid && !io.flush) 281 val enq_bits = RegEnable(ParallelPriorityMux(in_enq_valid, io.enq.map(_.bits)), in_enq_valid.asUInt.orR && !io.redirect.valid && !io.flush) 282 283 // s2: compare the input exception with the current one 284 // priorities: 285 // (1) system reset 286 // (2) current is valid: flush, remain, merge, update 287 // (3) current is not valid: s1 or enq 288 val current_flush = current.robIdx.needFlush(io.redirect) || io.flush 289 val s1_flush = s1_out_bits.robIdx.needFlush(io.redirect) || io.flush 290 when (currentValid) { 291 when (current_flush) { 292 currentValid := Mux(s1_flush, false.B, s1_out_valid) 293 } 294 when (s1_out_valid && !s1_flush) { 295 when (isAfter(current.robIdx, s1_out_bits.robIdx)) { 296 current := s1_out_bits 297 }.elsewhen (current.robIdx === s1_out_bits.robIdx) { 298 current.exceptionVec := (s1_out_bits.exceptionVec.asUInt | current.exceptionVec.asUInt).asTypeOf(ExceptionVec()) 299 current.flushPipe := s1_out_bits.flushPipe || current.flushPipe 300 current.replayInst := s1_out_bits.replayInst || current.replayInst 301 current.singleStep := s1_out_bits.singleStep || current.singleStep 302 current.trigger := (s1_out_bits.trigger.asUInt | current.trigger.asUInt).asTypeOf(new TriggerCf) 303 } 304 } 305 }.elsewhen (s1_out_valid && !s1_flush) { 306 currentValid := true.B 307 current := s1_out_bits 308 }.elsewhen (enq_valid && !(io.redirect.valid || io.flush)) { 309 currentValid := true.B 310 current := enq_bits 311 } 312 313 io.out.valid := s1_out_valid || enq_valid && enq_bits.can_writeback 314 io.out.bits := Mux(s1_out_valid, s1_out_bits, enq_bits) 315 io.state.valid := currentValid 316 io.state.bits := current 317 318} 319 320class RobFlushInfo(implicit p: Parameters) extends XSBundle { 321 val ftqIdx = new FtqPtr 322 val robIdx = new RobPtr 323 val ftqOffset = UInt(log2Up(PredictWidth).W) 324 val replayInst = Bool() 325} 326 327class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 328 override def shouldBeInlined: Boolean = false 329 330 lazy val module = new RobImp(this)(p, params) 331} 332 333class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper) 334 with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents { 335 336 private val LduCnt = params.LduCnt 337 private val StaCnt = params.StaCnt 338 private val HyuCnt = params.HyuCnt 339 340 val io = IO(new Bundle() { 341 val hartId = Input(UInt(8.W)) 342 val redirect = Input(Valid(new Redirect)) 343 val enq = new RobEnqIO 344 val flushOut = ValidIO(new Redirect) 345 val exception = ValidIO(new ExceptionInfo) 346 // exu + brq 347 val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles) 348 val commits = Output(new RobCommitIO) 349 val rabCommits = Output(new RobCommitIO) 350 val diffCommits = Output(new DiffCommitIO) 351 val isVsetFlushPipe = Output(Bool()) 352 val vconfigPdest = Output(UInt(PhyRegIdxWidth.W)) 353 val lsq = new RobLsqIO 354 val robDeqPtr = Output(new RobPtr) 355 val csr = new RobCSRIO 356 val snpt = Input(new SnapshotPort) 357 val robFull = Output(Bool()) 358 val headNotReady = Output(Bool()) 359 val cpu_halt = Output(Bool()) 360 val wfi_enable = Input(Bool()) 361 val toDecode = new Bundle { 362 val vtype = ValidIO(VType()) 363 } 364 365 val debug_ls = Flipped(new DebugLSIO) 366 val debugRobHead = Output(new DynInst) 367 val debugEnqLsq = Input(new LsqEnqIO) 368 val debugHeadLsIssue = Input(Bool()) 369 val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo)) 370 val debugTopDown = new Bundle { 371 val toCore = new RobCoreTopDownIO 372 val toDispatch = new RobDispatchTopDownIO 373 val robHeadLqIdx = Valid(new LqPtr) 374 } 375 val debugRolling = new RobDebugRollingIO 376 }) 377 378 val exuWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(!_.bits.params.hasStdFu).toSeq 379 val stdWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(_.bits.params.hasStdFu).toSeq 380 val fflagsWBs = io.writeback.filter(x => x.bits.fflags.nonEmpty) 381 val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty) 382 val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty) 383 384 val exuWbPorts = io.writeback.filter(!_.bits.params.hasStdFu) 385 val stdWbPorts = io.writeback.filter(_.bits.params.hasStdFu) 386 val fflagsPorts = io.writeback.filter(x => x.bits.fflags.nonEmpty) 387 val vxsatPorts = io.writeback.filter(x => x.bits.vxsat.nonEmpty) 388 val exceptionPorts = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty) 389 val numExuWbPorts = exuWBs.length 390 val numStdWbPorts = stdWBs.length 391 392 393 println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth") 394// println(s"exuPorts: ${exuWbPorts.map(_._1.map(_.name))}") 395// println(s"stdPorts: ${stdWbPorts.map(_._1.map(_.name))}") 396// println(s"fflags: ${fflagsPorts.map(_._1.map(_.name))}") 397 398 399 // instvalid field 400 val valid = RegInit(VecInit(Seq.fill(RobSize)(false.B))) 401 // writeback status 402 403 val stdWritebacked = Reg(Vec(RobSize, Bool())) 404 val commitTrigger = Mem(RobSize, Bool()) 405 val uopNumVec = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W)))) 406 val realDestSize = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W)))) 407 val fflagsDataModule = RegInit(VecInit(Seq.fill(RobSize)(0.U(5.W)))) 408 val vxsatDataModule = RegInit(VecInit(Seq.fill(RobSize)(false.B))) 409 val vls = RegInit(VecInit(Seq.fill(RobSize)(false.B))) 410 411 def isWritebacked(ptr: UInt): Bool = { 412 !uopNumVec(ptr).orR && stdWritebacked(ptr) 413 } 414 415 def isUopWritebacked(ptr: UInt): Bool = { 416 !uopNumVec(ptr).orR 417 } 418 419 val mmio = RegInit(VecInit(Seq.fill(RobSize)(false.B))) 420 421 // data for redirect, exception, etc. 422 val flagBkup = Mem(RobSize, Bool()) 423 // some instructions are not allowed to trigger interrupts 424 // They have side effects on the states of the processor before they write back 425 val interrupt_safe = RegInit(VecInit(Seq.fill(RobSize)(true.B))) 426 427 // data for debug 428 // Warn: debug_* prefix should not exist in generated verilog. 429 val debug_microOp = DebugMem(RobSize, new DynInst) 430 val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W)))//for debug 431 val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle))//for debug 432 val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init))) 433 val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init))) 434 val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B)) 435 val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B)) 436 437 // pointers 438 // For enqueue ptr, we don't duplicate it since only enqueue needs it. 439 val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr)) 440 val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 441 442 dontTouch(enqPtrVec) 443 dontTouch(deqPtrVec) 444 445 val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr)) 446 val lastWalkPtr = Reg(new RobPtr) 447 val allowEnqueue = RegInit(true.B) 448 449 val enqPtr = enqPtrVec.head 450 val deqPtr = deqPtrVec(0) 451 val walkPtr = walkPtrVec(0) 452 453 val isEmpty = enqPtr === deqPtr 454 val isReplaying = io.redirect.valid && RedirectLevel.flushItself(io.redirect.bits.level) 455 456 val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _) 457 val snapshotPtrVec = Wire(Vec(RenameWidth, new RobPtr)) 458 snapshotPtrVec(0) := io.enq.req(0).bits.robIdx 459 for (i <- 1 until RenameWidth) { 460 snapshotPtrVec(i) := snapshotPtrVec(0) + i.U 461 } 462 val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec) 463 val debug_lsIssue = WireDefault(debug_lsIssued) 464 debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue 465 466 /** 467 * states of Rob 468 */ 469 val s_idle :: s_walk :: Nil = Enum(2) 470 val state = RegInit(s_idle) 471 472 /** 473 * Data Modules 474 * 475 * CommitDataModule: data from dispatch 476 * (1) read: commits/walk/exception 477 * (2) write: enqueue 478 * 479 * WritebackData: data from writeback 480 * (1) read: commits/walk/exception 481 * (2) write: write back from exe units 482 */ 483 val dispatchData = Module(new SyncDataModuleTemplate(new RobDispatchData, RobSize, CommitWidth, RenameWidth)) 484 val dispatchDataRead = dispatchData.io.rdata 485 486 val exceptionGen = Module(new ExceptionGen(params)) 487 val exceptionDataRead = exceptionGen.io.state 488 val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W))) 489 val vxsatDataRead = Wire(Vec(CommitWidth, Bool())) 490 491 io.robDeqPtr := deqPtr 492 io.debugRobHead := debug_microOp(deqPtr.value) 493 494 val rab = Module(new RenameBuffer(RabSize)) 495 val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize)) 496 497 /** 498 * connection of [[rab]] 499 */ 500 rab.io.redirect.valid := io.redirect.valid 501 502 rab.io.req.zip(io.enq.req).map { case (dest, src) => 503 dest.bits := src.bits 504 dest.valid := src.valid && io.enq.canAccept 505 } 506 507 val commitDestSizeSeq = (0 until CommitWidth).map(i => realDestSize(deqPtrVec(i).value)) 508 val walkDestSizeSeq = (0 until CommitWidth).map(i => realDestSize(walkPtrVec(i).value)) 509 510 val commitSizeSum = io.commits.commitValid.zip(commitDestSizeSeq).map { case (commitValid, destSize) => 511 Mux(io.commits.isCommit && commitValid, destSize, 0.U) 512 }.reduce(_ +& _) 513 val walkSizeSum = io.commits.walkValid.zip(walkDestSizeSeq).map { case (walkValid, destSize) => 514 Mux(io.commits.isWalk && walkValid, destSize, 0.U) 515 }.reduce(_ +& _) 516 517 rab.io.fromRob.commitSize := commitSizeSum 518 rab.io.fromRob.walkSize := walkSizeSum 519 rab.io.snpt := io.snpt 520 rab.io.snpt.snptEnq := snptEnq 521 522 io.rabCommits := rab.io.commits 523 io.diffCommits := rab.io.diffCommits 524 525 /** 526 * connection of [[vtypeBuffer]] 527 */ 528 529 vtypeBuffer.io.redirect.valid := io.redirect.valid 530 531 vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) => 532 sink.valid := source.valid && io.enq.canAccept 533 sink.bits := source.bits 534 } 535 536 private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset }) 537 private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(io.commits.info).map { case (valid, info) => io.commits.isWalk && valid && info.isVset }) 538 vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec) 539 vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec) 540 vtypeBuffer.io.snpt := io.snpt 541 vtypeBuffer.io.snpt.snptEnq := snptEnq 542 io.toDecode.vtype := vtypeBuffer.io.toDecode.vtype 543 544 /** 545 * Enqueue (from dispatch) 546 */ 547 // special cases 548 val hasBlockBackward = RegInit(false.B) 549 val hasWaitForward = RegInit(false.B) 550 val doingSvinval = RegInit(false.B) 551 // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B 552 // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty. 553 when (isEmpty) { hasBlockBackward:= false.B } 554 // When any instruction commits, hasNoSpecExec should be set to false.B 555 when (io.commits.hasWalkInstr || io.commits.hasCommitInstr) { hasWaitForward:= false.B } 556 557 // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing. 558 // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle. 559 // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts. 560 val hasWFI = RegInit(false.B) 561 io.cpu_halt := hasWFI 562 // WFI Timeout: 2^20 = 1M cycles 563 val wfi_cycles = RegInit(0.U(20.W)) 564 when (hasWFI) { 565 wfi_cycles := wfi_cycles + 1.U 566 }.elsewhen (!hasWFI && RegNext(hasWFI)) { 567 wfi_cycles := 0.U 568 } 569 val wfi_timeout = wfi_cycles.andR 570 when (RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) { 571 hasWFI := false.B 572 } 573 574 val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop))))) 575 io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq 576 io.enq.resp := allocatePtrVec 577 val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept)) 578 val timer = GTimer() 579 for (i <- 0 until RenameWidth) { 580 // we don't check whether io.redirect is valid here since redirect has higher priority 581 when (canEnqueue(i)) { 582 val enqUop = io.enq.req(i).bits 583 val enqIndex = allocatePtrVec(i).value 584 // store uop in data module and debug_microOp Vec 585 debug_microOp(enqIndex) := enqUop 586 debug_microOp(enqIndex).debugInfo.dispatchTime := timer 587 debug_microOp(enqIndex).debugInfo.enqRsTime := timer 588 debug_microOp(enqIndex).debugInfo.selectTime := timer 589 debug_microOp(enqIndex).debugInfo.issueTime := timer 590 debug_microOp(enqIndex).debugInfo.writebackTime := timer 591 debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer 592 debug_microOp(enqIndex).debugInfo.tlbRespTime := timer 593 debug_lsInfo(enqIndex) := DebugLsInfo.init 594 debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init 595 debug_lqIdxValid(enqIndex) := false.B 596 debug_lsIssued(enqIndex) := false.B 597 598 when (enqUop.blockBackward) { 599 hasBlockBackward := true.B 600 } 601 when (enqUop.waitForward) { 602 hasWaitForward := true.B 603 } 604 val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire 605 val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR 606 // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process 607 when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) 608 { 609 doingSvinval := true.B 610 } 611 // the end instruction of Svinval enqs so clear doingSvinval 612 when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) 613 { 614 doingSvinval := false.B 615 } 616 // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear 617 assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe))) 618 when (enqUop.isWFI && !enqHasException && !enqHasTriggerCanFire) { 619 hasWFI := true.B 620 } 621 622 mmio(enqIndex) := false.B 623 624 vls(enqIndex) := enqUop.vlsInstr 625 } 626 } 627 val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U) 628 io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR) 629 630 when (!io.wfi_enable) { 631 hasWFI := false.B 632 } 633 // sel vsetvl's flush position 634 val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3) 635 val vsetvlState = RegInit(vs_idle) 636 637 val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr)) 638 val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W))) 639 val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr)) 640 641 val enq0 = io.enq.req(0) 642 val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0) 643 val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe 644 val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map{case (req, fire) => FuType.isVArith(req.bits.fuType) && fire} 645 // for vs_idle 646 val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType))) 647 // for vs_waitVinstr 648 val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1) 649 val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req) 650 when(vsetvlState === vs_idle){ 651 firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr 652 firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset 653 firstVInstrRobIdx := firstVInstrIdle.bits.robIdx 654 }.elsewhen(vsetvlState === vs_waitVinstr){ 655 when(Cat(enqIsVInstrOrVset).orR){ 656 firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr 657 firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset 658 firstVInstrRobIdx := firstVInstrWait.bits.robIdx 659 } 660 } 661 662 val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR 663 when(vsetvlState === vs_idle && !io.redirect.valid){ 664 when(enq0IsVsetFlush){ 665 vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr) 666 } 667 }.elsewhen(vsetvlState === vs_waitVinstr){ 668 when(io.redirect.valid){ 669 vsetvlState := vs_idle 670 }.elsewhen(Cat(enqIsVInstrOrVset).orR){ 671 vsetvlState := vs_waitFlush 672 } 673 }.elsewhen(vsetvlState === vs_waitFlush){ 674 when(io.redirect.valid){ 675 vsetvlState := vs_idle 676 } 677 } 678 679 // lqEnq 680 io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) => 681 when(io.debugEnqLsq.canAccept && alloc && req.valid) { 682 debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx 683 debug_lqIdxValid(req.bits.robIdx.value) := true.B 684 } 685 } 686 687 // lsIssue 688 when(io.debugHeadLsIssue) { 689 debug_lsIssued(deqPtr.value) := true.B 690 } 691 692 /** 693 * Writeback (from execution units) 694 */ 695 for (wb <- exuWBs) { 696 when (wb.valid) { 697 val wbIdx = wb.bits.robIdx.value 698 debug_exuData(wbIdx) := wb.bits.data 699 debug_exuDebug(wbIdx) := wb.bits.debug 700 debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime 701 debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime 702 debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime 703 debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime 704 705 // debug for lqidx and sqidx 706 debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 707 debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 708 709 val debug_Uop = debug_microOp(wbIdx) 710 XSInfo(true.B, 711 p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " + 712 p"data 0x${Hexadecimal(wb.bits.data)} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " + 713 p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n" 714 ) 715 } 716 } 717 718 val writebackNum = PopCount(exuWBs.map(_.valid)) 719 XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum) 720 721 for (i <- 0 until LoadPipelineWidth) { 722 when (RegNext(io.lsq.mmio(i))) { 723 mmio(RegNext(io.lsq.uop(i).robIdx).value) := true.B 724 } 725 } 726 727 /** 728 * RedirectOut: Interrupt and Exceptions 729 */ 730 val deqDispatchData = dispatchDataRead(0) 731 val debug_deqUop = debug_microOp(deqPtr.value) 732 733 val intrBitSetReg = RegNext(io.csr.intrBitSet) 734 val intrEnable = intrBitSetReg && !hasWaitForward && interrupt_safe(deqPtr.value) 735 val deqHasExceptionOrFlush = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr 736 val deqHasException = deqHasExceptionOrFlush && (exceptionDataRead.bits.exceptionVec.asUInt.orR || 737 exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.canFire) 738 val deqHasFlushPipe = deqHasExceptionOrFlush && exceptionDataRead.bits.flushPipe 739 val deqHasReplayInst = deqHasExceptionOrFlush && exceptionDataRead.bits.replayInst 740 val exceptionEnable = isWritebacked(deqPtr.value) && deqHasException 741 742 XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n") 743 XSDebug(deqHasException && exceptionDataRead.bits.trigger.getFrontendCanFire, "Debug Mode: Deq has frontend trigger exception\n") 744 XSDebug(deqHasException && exceptionDataRead.bits.trigger.getBackendCanFire, "Debug Mode: Deq has backend trigger exception\n") 745 746 val isFlushPipe = isWritebacked(deqPtr.value) && (deqHasFlushPipe || deqHasReplayInst) 747 748 val isVsetFlushPipe = isWritebacked(deqPtr.value) && deqHasFlushPipe && exceptionDataRead.bits.isVset 749// val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush) 750 val needModifyFtqIdxOffset = false.B 751 io.isVsetFlushPipe := isVsetFlushPipe 752 io.vconfigPdest := rab.io.vconfigPdest 753 // io.flushOut will trigger redirect at the next cycle. 754 // Block any redirect or commit at the next cycle. 755 val lastCycleFlush = RegNext(io.flushOut.valid) 756 757 io.flushOut.valid := (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable || isFlushPipe) && !lastCycleFlush 758 io.flushOut.bits := DontCare 759 io.flushOut.bits.isRVC := deqDispatchData.isRVC 760 io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr) 761 io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx) 762 io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset) 763 io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || exceptionEnable || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next" 764 io.flushOut.bits.interrupt := true.B 765 XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable) 766 XSPerfAccumulate("exception_num", io.flushOut.valid && exceptionEnable) 767 XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe) 768 XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst) 769 770 val exceptionHappen = (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable) && !lastCycleFlush 771 io.exception.valid := RegNext(exceptionHappen) 772 io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen) 773 io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen) 774 io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen) 775 io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen) 776 io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen) 777 io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen) 778 io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen) 779 io.exception.bits.vls := RegEnable(vls(deqPtr.value), exceptionHappen) 780 io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen) 781 io.csr.vstart.valid := RegEnable(exceptionDataRead.bits.vstartEn, false.B, exceptionHappen) 782 io.csr.vstart.bits := RegEnable(exceptionDataRead.bits.vstart, exceptionHappen) 783 784 XSDebug(io.flushOut.valid, 785 p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " + 786 p"excp $exceptionEnable flushPipe $isFlushPipe " + 787 p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n") 788 789 790 /** 791 * Commits (and walk) 792 * They share the same width. 793 */ 794 val shouldWalkVec = VecInit(walkPtrVec.map(_ <= lastWalkPtr)) 795 val walkFinished = VecInit(walkPtrVec.map(_ >= lastWalkPtr)).asUInt.orR 796 rab.io.fromRob.walkEnd := state === s_walk && walkFinished 797 vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished 798 799 require(RenameWidth <= CommitWidth) 800 801 // wiring to csr 802 val (wflags, dirtyFs) = (0 until CommitWidth).map(i => { 803 val v = io.commits.commitValid(i) 804 val info = io.commits.info(i) 805 (v & info.wflags, v & info.dirtyFs) 806 }).unzip 807 val fflags = Wire(Valid(UInt(5.W))) 808 fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR 809 fflags.bits := wflags.zip(fflagsDataRead).map({ 810 case (w, f) => Mux(w, f, 0.U) 811 }).reduce(_|_) 812 val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR 813 814 val vxsat = Wire(Valid(Bool())) 815 vxsat.valid := io.commits.isCommit && vxsat.bits 816 vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map { 817 case (valid, vxsat) => valid & vxsat 818 }.reduce(_ | _) 819 820 // when mispredict branches writeback, stop commit in the next 2 cycles 821 // TODO: don't check all exu write back 822 val misPredWb = Cat(VecInit(redirectWBs.map(wb => 823 wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid 824 ).toSeq)).orR 825 val misPredBlockCounter = Reg(UInt(3.W)) 826 misPredBlockCounter := Mux(misPredWb, 827 "b111".U, 828 misPredBlockCounter >> 1.U 829 ) 830 val misPredBlock = misPredBlockCounter(0) 831 val blockCommit = misPredBlock || isReplaying || lastCycleFlush || hasWFI || io.redirect.valid 832 833 io.commits.isWalk := state === s_walk 834 io.commits.isCommit := state === s_idle && !blockCommit 835 val walk_v = VecInit(walkPtrVec.map(ptr => valid(ptr.value))) 836 val commit_v = VecInit(deqPtrVec.map(ptr => valid(ptr.value))) 837 // store will be commited iff both sta & std have been writebacked 838 val commit_w = VecInit(deqPtrVec.map(ptr => isWritebacked(ptr.value) && commitTrigger(ptr.value))) 839 val commit_exception = exceptionDataRead.valid && !isAfter(exceptionDataRead.bits.robIdx, deqPtrVec.last) 840 val commit_block = VecInit((0 until CommitWidth).map(i => !commit_w(i))) 841 val allowOnlyOneCommit = commit_exception || intrBitSetReg 842 // for instructions that may block others, we don't allow them to commit 843 for (i <- 0 until CommitWidth) { 844 // defaults: state === s_idle and instructions commit 845 // when intrBitSetReg, allow only one instruction to commit at each clock cycle 846 val isBlocked = if (i != 0) Cat(commit_block.take(i)).orR || allowOnlyOneCommit else intrEnable || deqHasException || deqHasReplayInst 847 io.commits.commitValid(i) := commit_v(i) && commit_w(i) && !isBlocked 848 io.commits.info(i) := dispatchDataRead(i) 849 io.commits.robIdx(i) := deqPtrVec(i) 850 851 io.commits.walkValid(i) := shouldWalkVec(i) 852 when (state === s_walk) { 853 when (io.commits.isWalk && state === s_walk && shouldWalkVec(i)) { 854 XSError(!walk_v(i), s"The walking entry($i) should be valid\n") 855 } 856 } 857 858 XSInfo(io.commits.isCommit && io.commits.commitValid(i), 859 "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n", 860 debug_microOp(deqPtrVec(i).value).pc, 861 io.commits.info(i).rfWen, 862 io.commits.info(i).ldest, 863 io.commits.info(i).pdest, 864 debug_exuData(deqPtrVec(i).value), 865 fflagsDataRead(i), 866 vxsatDataRead(i) 867 ) 868 XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n", 869 debug_microOp(walkPtrVec(i).value).pc, 870 io.commits.info(i).rfWen, 871 io.commits.info(i).ldest, 872 debug_exuData(walkPtrVec(i).value) 873 ) 874 } 875 if (env.EnableDifftest) { 876 io.commits.info.map(info => dontTouch(info.pc)) 877 } 878 879 // sync fflags/dirty_fs/vxsat to csr 880 io.csr.fflags := RegNext(fflags) 881 io.csr.dirty_fs := RegNext(dirty_fs) 882 io.csr.vxsat := RegNext(vxsat) 883 884 // sync v csr to csr 885 // for difftest 886 if(env.AlwaysBasicDiff || env.EnableDifftest) { 887 val isDiffWriteVconfigVec = io.diffCommits.commitValid.zip(io.diffCommits.info).map { case (valid, info) => valid && info.ldest === VCONFIG_IDX.U && info.vecWen }.reverse 888 io.csr.vcsrFlag := RegNext(io.diffCommits.isCommit && Cat(isDiffWriteVconfigVec).orR) 889 } 890 else{ 891 io.csr.vcsrFlag := false.B 892 } 893 894 // commit load/store to lsq 895 val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD)) 896 val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE)) 897 val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr))) 898 io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U)) 899 io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U)) 900 // indicate a pending load or store 901 io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && valid(deqPtr.value) && mmio(deqPtr.value)) 902 io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && valid(deqPtr.value)) 903 io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0)) 904 io.lsq.pendingPtr := RegNext(deqPtr) 905 io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head) 906 907 /** 908 * state changes 909 * (1) redirect: switch to s_walk 910 * (2) walk: when walking comes to the end, switch to s_idle 911 */ 912 val state_next = Mux( 913 io.redirect.valid, s_walk, 914 Mux( 915 state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle, 916 state 917 ) 918 ) 919 XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle) 920 XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk) 921 XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle) 922 XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk) 923 state := state_next 924 925 /** 926 * pointers and counters 927 */ 928 val deqPtrGenModule = Module(new RobDeqPtrWrapper) 929 deqPtrGenModule.io.state := state 930 deqPtrGenModule.io.deq_v := commit_v 931 deqPtrGenModule.io.deq_w := commit_w 932 deqPtrGenModule.io.exception_state := exceptionDataRead 933 deqPtrGenModule.io.intrBitSetReg := intrBitSetReg 934 deqPtrGenModule.io.hasNoSpecExec := hasWaitForward 935 deqPtrGenModule.io.interrupt_safe := interrupt_safe(deqPtr.value) 936 deqPtrGenModule.io.blockCommit := blockCommit 937 deqPtrVec := deqPtrGenModule.io.out 938 deqPtrVec_next := deqPtrGenModule.io.next_out 939 940 val enqPtrGenModule = Module(new RobEnqPtrWrapper) 941 enqPtrGenModule.io.redirect := io.redirect 942 enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq 943 enqPtrGenModule.io.hasBlockBackward := hasBlockBackward 944 enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop)) 945 enqPtrVec := enqPtrGenModule.io.out 946 947 // next walkPtrVec: 948 // (1) redirect occurs: update according to state 949 // (2) walk: move forwards 950 val walkPtrVec_next = Mux(io.redirect.valid, 951 Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect), deqPtrVec_next), 952 Mux(state === s_walk, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec) 953 ) 954 walkPtrVec := walkPtrVec_next 955 956 val numValidEntries = distanceBetween(enqPtr, deqPtr) 957 val commitCnt = PopCount(io.commits.commitValid) 958 959 allowEnqueue := numValidEntries + dispatchNum <= (RobSize - RenameWidth).U 960 961 val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0)) 962 when (io.redirect.valid) { 963 lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx) 964 } 965 966 967 /** 968 * States 969 * We put all the stage bits changes here. 970 971 * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit); 972 * All states: (1) valid; (2) writebacked; (3) flagBkup 973 */ 974 val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value))) 975 976 // redirect logic writes 6 valid 977 val redirectHeadVec = Reg(Vec(RenameWidth, new RobPtr)) 978 val redirectTail = Reg(new RobPtr) 979 val redirectIdle :: redirectBusy :: Nil = Enum(2) 980 val redirectState = RegInit(redirectIdle) 981 val invMask = redirectHeadVec.map(redirectHead => isBefore(redirectHead, redirectTail)) 982 when(redirectState === redirectBusy) { 983 redirectHeadVec.foreach(redirectHead => redirectHead := redirectHead + RenameWidth.U) 984 redirectHeadVec zip invMask foreach { 985 case (redirectHead, inv) => when(inv) { 986 valid(redirectHead.value) := false.B 987 } 988 } 989 when(!invMask.last) { 990 redirectState := redirectIdle 991 } 992 } 993 when(io.redirect.valid) { 994 redirectState := redirectBusy 995 when(redirectState === redirectIdle) { 996 redirectTail := enqPtr 997 } 998 redirectHeadVec.zipWithIndex.foreach { case (redirectHead, i) => 999 redirectHead := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U) 1000 } 1001 } 1002 // enqueue logic writes 6 valid 1003 for (i <- 0 until RenameWidth) { 1004 when (canEnqueue(i) && !io.redirect.valid) { 1005 valid(allocatePtrVec(i).value) := true.B 1006 } 1007 } 1008 // dequeue logic writes 6 valid 1009 for (i <- 0 until CommitWidth) { 1010 val commitValid = io.commits.isCommit && io.commits.commitValid(i) 1011 when (commitValid) { 1012 valid(commitReadAddr(i)) := false.B 1013 } 1014 } 1015 1016 // debug_inst update 1017 for(i <- 0 until (LduCnt + StaCnt)) { 1018 debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i)) 1019 debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i)) 1020 } 1021 for (i <- 0 until LduCnt) { 1022 debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i)) 1023 debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i)) 1024 } 1025 1026 // status field: writebacked 1027 // enqueue logic set 6 writebacked to false 1028 for (i <- 0 until RenameWidth) { 1029 when(canEnqueue(i)) { 1030 val enqHasException = ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec).asUInt.orR 1031 val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire 1032 val enqIsWritebacked = io.enq.req(i).bits.eliminatedMove 1033 val isStu = FuType.isStore(io.enq.req(i).bits.fuType) 1034 commitTrigger(allocatePtrVec(i).value) := enqIsWritebacked && !enqHasException && !enqHasTriggerCanFire && !isStu 1035 } 1036 } 1037 when(exceptionGen.io.out.valid) { 1038 val wbIdx = exceptionGen.io.out.bits.robIdx.value 1039 commitTrigger(wbIdx) := true.B 1040 } 1041 1042 // writeback logic set numWbPorts writebacked to true 1043 val blockWbSeq = Wire(Vec(exuWBs.length, Bool())) 1044 blockWbSeq.map(_ := false.B) 1045 for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) { 1046 when(wb.valid) { 1047 val wbIdx = wb.bits.robIdx.value 1048 val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR 1049 val wbHasTriggerCanFire = wb.bits.trigger.getOrElse(0.U).asTypeOf(io.enq.req(0).bits.trigger).getBackendCanFire //Todo: wb.bits.trigger.getHitBackend 1050 val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B) 1051 val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst 1052 blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerCanFire 1053 commitTrigger(wbIdx) := !blockWb 1054 } 1055 } 1056 1057 // if the first uop of an instruction is valid , write writebackedCounter 1058 val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid) 1059 val instEnqValidSeq = io.enq.req.map (req => io.enq.canAccept && req.valid && req.bits.firstUop) 1060 val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf) 1061 val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value) 1062 val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops)) 1063 val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB)) 1064 val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove)) 1065 1066 private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map { 1067 req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType) 1068 }) 1069 val fflags_wb = fflagsPorts 1070 val vxsat_wb = vxsatPorts 1071 for(i <- 0 until RobSize){ 1072 1073 val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U) 1074 val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch } 1075 val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch } 1076 val instCanEnqFlag = Cat(instCanEnqSeq).orR 1077 1078 realDestSize(i) := Mux(!valid(i) && instCanEnqFlag || valid(i), realDestSize(i) + PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map{ case(writeFlag, valid) => writeFlag && valid }), 0.U) 1079 1080 val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 1081 val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec) 1082 val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 1083 val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 1084 1085 val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 1086 val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map{ case(canWb, blockWb) => canWb && !blockWb } 1087 val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)) 1088 val wbCnt = PopCount(canWbNoBlockSeq) 1089 1090 val exceptionHas = RegInit(false.B) 1091 val exceptionHasWire = Wire(Bool()) 1092 exceptionHasWire := MuxCase(exceptionHas, Seq( 1093 (valid(i) && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === i.U) -> true.B, 1094 !valid(i) -> false.B 1095 )) 1096 exceptionHas := exceptionHasWire 1097 1098 when (exceptionHas || exceptionHasWire) { 1099 // exception flush 1100 uopNumVec(i) := 0.U 1101 stdWritebacked(i) := true.B 1102 }.elsewhen(!valid(i) && instCanEnqFlag) { 1103 // enq set num of uops 1104 uopNumVec(i) := enqWBNum 1105 stdWritebacked(i) := Mux(enqWriteStd, false.B, true.B) 1106 }.elsewhen(valid(i)) { 1107 // update by writing back 1108 uopNumVec(i) := uopNumVec(i) - wbCnt 1109 assert(!(uopNumVec(i) - wbCnt > uopNumVec(i)), "Overflow!") 1110 when (canStdWbSeq.asUInt.orR) { 1111 stdWritebacked(i) := true.B 1112 } 1113 }.otherwise { 1114 uopNumVec(i) := 0.U 1115 } 1116 1117 val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B)) 1118 val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 1119 fflagsDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, fflagsDataModule(i) | fflagsRes) 1120 1121 val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 1122 val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 1123 vxsatDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, vxsatDataModule(i) | vxsatRes) 1124 } 1125 1126 // flagBkup 1127 // enqueue logic set 6 flagBkup at most 1128 for (i <- 0 until RenameWidth) { 1129 when (canEnqueue(i)) { 1130 flagBkup(allocatePtrVec(i).value) := allocatePtrVec(i).flag 1131 } 1132 } 1133 1134 // interrupt_safe 1135 for (i <- 0 until RenameWidth) { 1136 // We RegNext the updates for better timing. 1137 // Note that instructions won't change the system's states in this cycle. 1138 when (RegNext(canEnqueue(i))) { 1139 // For now, we allow non-load-store instructions to trigger interrupts 1140 // For MMIO instructions, they should not trigger interrupts since they may 1141 // be sent to lower level before it writes back. 1142 // However, we cannot determine whether a load/store instruction is MMIO. 1143 // Thus, we don't allow load/store instructions to trigger an interrupt. 1144 // TODO: support non-MMIO load-store instructions to trigger interrupts 1145 val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType) 1146 interrupt_safe(RegNext(allocatePtrVec(i).value)) := RegNext(allow_interrupts) 1147 } 1148 } 1149 1150 /** 1151 * read and write of data modules 1152 */ 1153 val commitReadAddr_next = Mux(state_next === s_idle, 1154 VecInit(deqPtrVec_next.map(_.value)), 1155 VecInit(walkPtrVec_next.map(_.value)) 1156 ) 1157 dispatchData.io.wen := canEnqueue 1158 dispatchData.io.waddr := allocatePtrVec.map(_.value) 1159 dispatchData.io.wdata.zip(io.enq.req.map(_.bits)).zipWithIndex.foreach { case ((wdata, req), portIdx) => 1160 wdata.ldest := req.ldest 1161 wdata.rfWen := req.rfWen 1162 wdata.dirtyFs := req.dirtyFs 1163 wdata.vecWen := req.vecWen 1164 wdata.wflags := req.wfflags 1165 wdata.commitType := req.commitType 1166 wdata.pdest := req.pdest 1167 wdata.ftqIdx := req.ftqPtr 1168 wdata.ftqOffset := req.ftqOffset 1169 wdata.isMove := req.eliminatedMove 1170 wdata.isRVC := req.preDecodeInfo.isRVC 1171 wdata.pc := req.pc 1172 wdata.vtype := req.vpu.vtype 1173 wdata.isVset := req.isVset 1174 wdata.instrSize := req.instrSize 1175 } 1176 dispatchData.io.raddr := commitReadAddr_next 1177 1178 exceptionGen.io.redirect <> io.redirect 1179 exceptionGen.io.flush := io.flushOut.valid 1180 1181 val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept)) 1182 for (i <- 0 until RenameWidth) { 1183 exceptionGen.io.enq(i).valid := canEnqueueEG(i) 1184 exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx 1185 exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec) 1186 exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe 1187 exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset 1188 exceptionGen.io.enq(i).bits.replayInst := false.B 1189 XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst") 1190 exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep 1191 exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix 1192 exceptionGen.io.enq(i).bits.trigger.clear() 1193 exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit 1194 exceptionGen.io.enq(i).bits.trigger.frontendCanFire := io.enq.req(i).bits.trigger.frontendCanFire 1195 exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare 1196 exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare 1197 } 1198 1199 println(s"ExceptionGen:") 1200 println(s"num of exceptions: ${params.numException}") 1201 require(exceptionWBs.length == exceptionGen.io.wb.length, 1202 f"exceptionWBs.length: ${exceptionWBs.length}, " + 1203 f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}") 1204 for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) { 1205 exc_wb.valid := wb.valid 1206 exc_wb.bits.robIdx := wb.bits.robIdx 1207 exc_wb.bits.exceptionVec := wb.bits.exceptionVec.get 1208 exc_wb.bits.flushPipe := wb.bits.flushPipe.getOrElse(false.B) 1209 exc_wb.bits.isVset := false.B 1210 exc_wb.bits.replayInst := wb.bits.replay.getOrElse(false.B) 1211 exc_wb.bits.singleStep := false.B 1212 exc_wb.bits.crossPageIPFFix := false.B 1213 // TODO: make trigger configurable 1214 val trigger = wb.bits.trigger.getOrElse(0.U).asTypeOf(exc_wb.bits.trigger) 1215 exc_wb.bits.trigger.clear() // Don't care frontend timing, chain, hit and canFire 1216 exc_wb.bits.trigger.backendHit := trigger.backendHit 1217 exc_wb.bits.trigger.backendCanFire := trigger.backendCanFire 1218 exc_wb.bits.vstartEn := false.B //wb.bits.vstartEn.getOrElse(false.B) // todo need add vstart in ExuOutput 1219 exc_wb.bits.vstart := 0.U //wb.bits.vstart.getOrElse(0.U) 1220// println(s" [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " + 1221// s"flushPipe ${configs.exists(_.flushPipe)}, " + 1222// s"replayInst ${configs.exists(_.replayInst)}") 1223 } 1224 1225 fflagsDataRead := (0 until CommitWidth).map(i => fflagsDataModule(deqPtrVec(i).value)) 1226 vxsatDataRead := (0 until CommitWidth).map(i => vxsatDataModule(deqPtrVec(i).value)) 1227 1228 val instrCntReg = RegInit(0.U(64.W)) 1229 val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) }) 1230 val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt 1231 val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U) 1232 val instrCnt = instrCntReg + retireCounter 1233 instrCntReg := instrCnt 1234 io.csr.perfinfo.retiredInstr := retireCounter 1235 io.robFull := !allowEnqueue 1236 io.headNotReady := commit_v.head && !commit_w.head 1237 1238 /** 1239 * debug info 1240 */ 1241 XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n") 1242 XSDebug("") 1243 XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n") 1244 for(i <- 0 until RobSize) { 1245 XSDebug(false, !valid(i), "-") 1246 XSDebug(false, valid(i) && isWritebacked(i.U), "w") 1247 XSDebug(false, valid(i) && !isWritebacked(i.U), "v") 1248 } 1249 XSDebug(false, true.B, "\n") 1250 1251 for(i <- 0 until RobSize) { 1252 if (i % 4 == 0) XSDebug("") 1253 XSDebug(false, true.B, "%x ", debug_microOp(i).pc) 1254 XSDebug(false, !valid(i), "- ") 1255 XSDebug(false, valid(i) && isWritebacked(i.U), "w ") 1256 XSDebug(false, valid(i) && !isWritebacked(i.U), "v ") 1257 if (i % 4 == 3) XSDebug(false, true.B, "\n") 1258 } 1259 1260 def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U) 1261 def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U) 1262 1263 val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_)) 1264 XSPerfAccumulate("clock_cycle", 1.U) 1265 QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U) 1266 XSPerfAccumulate("commitUop", ifCommit(commitCnt)) 1267 XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt)) 1268 XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset) 1269 XSPerfRolling("cpi", perfCnt = 1.U/*Cycle*/, eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset) 1270 val commitIsMove = commitDebugUop.map(_.isMove) 1271 XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m }))) 1272 val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove) 1273 XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e }))) 1274 XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt)) 1275 val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD) 1276 val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map{ case (v, t) => v && t } 1277 XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid))) 1278 val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH) 1279 val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map{ case (v, t) => v && t } 1280 XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid))) 1281 val commitLoadWaitBit = commitDebugUop.map(_.loadWaitBit) 1282 XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w }))) 1283 val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE) 1284 XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t }))) 1285 XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => valid(i) && isWritebacked(i.U)))) 1286 // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire))) 1287 // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready))) 1288 XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)) 1289 XSPerfAccumulate("walkCycleTotal", state === s_walk) 1290 XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd) 1291 private val walkCycle = RegInit(0.U(8.W)) 1292 private val waitRabWalkCycle = RegInit(0.U(8.W)) 1293 walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1294 waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1295 1296 XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32) 1297 XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32) 1298 XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32) 1299 1300 private val deqNotWritebacked = valid(deqPtr.value) && !isWritebacked(deqPtr.value) 1301 private val deqStdNotWritebacked = valid(deqPtr.value) && !stdWritebacked(deqPtr.value) 1302 private val deqUopNotWritebacked = valid(deqPtr.value) && !isUopWritebacked(deqPtr.value) 1303 private val deqHeadInfo = debug_microOp(deqPtr.value) 1304 val deqUopCommitType = io.commits.info(0).commitType 1305 1306 XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U) 1307 XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U) 1308 XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U) 1309 XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U) 1310 XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U) 1311 XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U) 1312 XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U) 1313 XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U) 1314 XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U) 1315 XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1316 XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1317 XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1318 XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U) 1319 1320 XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL) 1321 XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH) 1322 XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD) 1323 XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE) 1324 XSPerfAccumulate("robHeadPC", io.commits.info(0).pc) 1325 XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map{case(valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U})) 1326 (2 to RenameWidth).foreach(i => 1327 XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map{case(valid, info) => io.commits.isCommit && valid && info.instrSize === i.U})) 1328 ) 1329 XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _)) 1330 val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime) 1331 val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime) 1332 val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime) 1333 val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime) 1334 val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime) 1335 val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime) 1336 val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime) 1337 def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = { 1338 cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _) 1339 } 1340 for (fuType <- FuType.functionNameMap.keys) { 1341 val fuName = FuType.functionNameMap(fuType) 1342 val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U ) 1343 XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset) 1344 XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType))) 1345 XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency))) 1346 XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency))) 1347 XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency))) 1348 XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency))) 1349 XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency))) 1350 XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency))) 1351 XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency))) 1352 } 1353 XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt) 1354 1355 // top-down info 1356 io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid 1357 io.debugTopDown.toCore.robHeadVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits 1358 io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid 1359 io.debugTopDown.toCore.robHeadPaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits 1360 io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt) 1361 io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value) 1362 io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value) 1363 io.debugTopDown.robHeadLqIdx.bits := debug_microOp(deqPtr.value).lqIdx 1364 1365 // rolling 1366 io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt) 1367 1368 /** 1369 * DataBase info: 1370 * log trigger is at writeback valid 1371 * */ 1372 1373 /** 1374 * @todo add InstInfoEntry back 1375 * @author Maxpicca-Li 1376 */ 1377 1378 //difftest signals 1379 val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value 1380 1381 val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1382 val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1383 1384 for(i <- 0 until CommitWidth) { 1385 val idx = deqPtrVec(i).value 1386 wdata(i) := debug_exuData(idx) 1387 wpc(i) := SignExt(commitDebugUop(i).pc, XLEN) 1388 } 1389 1390 if (env.EnableDifftest || env.AlwaysBasicDiff) { 1391 // These are the structures used by difftest only and should be optimized after synthesis. 1392 val dt_eliminatedMove = Mem(RobSize, Bool()) 1393 val dt_isRVC = Mem(RobSize, Bool()) 1394 val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle)) 1395 for (i <- 0 until RenameWidth) { 1396 when (canEnqueue(i)) { 1397 dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove 1398 dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC 1399 } 1400 } 1401 for (wb <- exuWBs) { 1402 when (wb.valid) { 1403 val wbIdx = wb.bits.robIdx.value 1404 dt_exuDebug(wbIdx) := wb.bits.debug 1405 } 1406 } 1407 // Always instantiate basic difftest modules. 1408 for (i <- 0 until CommitWidth) { 1409 val uop = commitDebugUop(i) 1410 val commitInfo = io.commits.info(i) 1411 val ptr = deqPtrVec(i).value 1412 val exuOut = dt_exuDebug(ptr) 1413 val eliminatedMove = dt_eliminatedMove(ptr) 1414 val isRVC = dt_isRVC(ptr) 1415 1416 val difftest = DifftestModule(new DiffInstrCommit(MaxPhyPregs), delay = 3, dontCare = true) 1417 difftest.coreid := io.hartId 1418 difftest.index := i.U 1419 difftest.valid := io.commits.commitValid(i) && io.commits.isCommit 1420 difftest.skip := Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt) 1421 difftest.isRVC := isRVC 1422 difftest.rfwen := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.ldest =/= 0.U 1423 difftest.fpwen := io.commits.commitValid(i) && uop.fpWen 1424 difftest.wpdest := commitInfo.pdest 1425 difftest.wdest := commitInfo.ldest 1426 difftest.nFused := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U 1427 when(difftest.valid) { 1428 assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U) 1429 } 1430 if (env.EnableDifftest) { 1431 val uop = commitDebugUop(i) 1432 difftest.pc := SignExt(uop.pc, XLEN) 1433 difftest.instr := uop.instr 1434 difftest.robIdx := ZeroExt(ptr, 10) 1435 difftest.lqIdx := ZeroExt(uop.lqIdx.value, 7) 1436 difftest.sqIdx := ZeroExt(uop.sqIdx.value, 7) 1437 difftest.isLoad := io.commits.info(i).commitType === CommitType.LOAD 1438 difftest.isStore := io.commits.info(i).commitType === CommitType.STORE 1439 } 1440 } 1441 } 1442 1443 if (env.EnableDifftest) { 1444 for (i <- 0 until CommitWidth) { 1445 val difftest = DifftestModule(new DiffLoadEvent, delay = 3) 1446 difftest.coreid := io.hartId 1447 difftest.index := i.U 1448 1449 val ptr = deqPtrVec(i).value 1450 val uop = commitDebugUop(i) 1451 val exuOut = debug_exuDebug(ptr) 1452 difftest.valid := io.commits.commitValid(i) && io.commits.isCommit 1453 difftest.paddr := exuOut.paddr 1454 difftest.opType := uop.fuOpType 1455 difftest.fuType := uop.fuType 1456 } 1457 } 1458 1459 if (env.EnableDifftest || env.AlwaysBasicDiff) { 1460 val dt_isXSTrap = Mem(RobSize, Bool()) 1461 for (i <- 0 until RenameWidth) { 1462 when (canEnqueue(i)) { 1463 dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap 1464 } 1465 } 1466 val trapVec = io.commits.commitValid.zip(deqPtrVec).map{ case (v, d) => 1467 io.commits.isCommit && v && dt_isXSTrap(d.value) 1468 } 1469 val hitTrap = trapVec.reduce(_||_) 1470 val difftest = DifftestModule(new DiffTrapEvent, dontCare = true) 1471 difftest.coreid := io.hartId 1472 difftest.hasTrap := hitTrap 1473 difftest.cycleCnt := timer 1474 difftest.instrCnt := instrCnt 1475 difftest.hasWFI := hasWFI 1476 1477 if (env.EnableDifftest) { 1478 val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1)) 1479 val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 ->x._1)), XLEN) 1480 difftest.code := trapCode 1481 difftest.pc := trapPC 1482 } 1483 } 1484 1485 val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(valid.drop(i * 32).take(32)))) 1486 val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _)) 1487 val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m }) 1488 val commitLoadVec = VecInit(commitLoadValid) 1489 val commitBranchVec = VecInit(commitBranchValid) 1490 val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w }) 1491 val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t }) 1492 val perfEvents = Seq( 1493 ("rob_interrupt_num ", io.flushOut.valid && intrEnable ), 1494 ("rob_exception_num ", io.flushOut.valid && exceptionEnable ), 1495 ("rob_flush_pipe_num ", io.flushOut.valid && isFlushPipe ), 1496 ("rob_replay_inst_num ", io.flushOut.valid && isFlushPipe && deqHasReplayInst ), 1497 ("rob_commitUop ", ifCommit(commitCnt) ), 1498 ("rob_commitInstr ", ifCommitReg(trueCommitCnt) ), 1499 ("rob_commitInstrMove ", ifCommitReg(PopCount(RegNext(commitMoveVec))) ), 1500 ("rob_commitInstrFused ", ifCommitReg(fuseCommitCnt) ), 1501 ("rob_commitInstrLoad ", ifCommitReg(PopCount(RegNext(commitLoadVec))) ), 1502 ("rob_commitInstrBranch ", ifCommitReg(PopCount(RegNext(commitBranchVec))) ), 1503 ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec))) ), 1504 ("rob_commitInstrStore ", ifCommitReg(PopCount(RegNext(commitStoreVec))) ), 1505 ("rob_walkInstr ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U) ), 1506 ("rob_walkCycle ", (state === s_walk) ), 1507 ("rob_1_4_valid ", validEntries <= (RobSize / 4).U ), 1508 ("rob_2_4_valid ", validEntries > (RobSize / 4).U && validEntries <= (RobSize / 2).U ), 1509 ("rob_3_4_valid ", validEntries > (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U), 1510 ("rob_4_4_valid ", validEntries > (RobSize * 3 / 4).U ), 1511 ) 1512 generatePerfEvent() 1513} 1514